DVT e Language IDE User Guide
Rev. 24.2.24, 14 October 2024

DVT e Language IDE User Guide

Table of Contents

1. Installation Checklist
1.1. Install DVT Using a pre-packed Distribution
1.2. Install DVT Using the Update Site
1.3. Set the License
2. Predefined Projects
3. Getting Started
3.1. What is a Workspace
3.2. What is a Project
3.3. Project Natures
3.4. Workspace and Workbench
3.5. Refresh
3.6. Linked Resources
3.7. Backup and Local History
3.8. Basic Tutorial
3.8.1. Switch to the DVT Perspective
3.8.2. Open a Project
3.8.3. Configure the Build
3.8.4. Build the Project
3.8.5. Inspect the Compilation Errors
3.8.6. See Comments in Tooltips
3.8.7. Use Hyperlinks to Move Around in the Code
3.8.8. Quickly Open a Type (Struct, Unit)
3.8.9. Quickly Open a File
3.8.10. Quickly Move Inside the Editor
3.8.11. Browse Through All the Available Types (Structs, Units, Enumerations)
3.8.12. Browse the AOP Extensions
3.8.13. Inspect the Struct Hierarchy and Struct Members
3.8.14. Inspect the Verification Hierarchy
3.8.15. Search for Entities
3.8.16. Use Content Assist (Autocomplete)
3.8.17. Use Code Templates
3.8.18. Track Tasks using TODO Markers
3.8.19. Format the Source Code
3.8.20. Quickly See the Current Scope in the Status Bar
3.8.21. Locate the Matching Bracket
3.8.22. Fold Code Regions in Order to Improve Readability
3.8.23. Load in Specman
4. Build Configurations
4.1. Non-top files
4.2. default.build
4.3. Auto-config
4.4. Simulator Log-config
4.5. Emulating compiler invocations
4.6. Multiple .build Files
4.7. Compatibility Modes
4.7.1. Default DVT Compatibility Mode
4.7.2. gcc Compatibility Mode
4.7.3. ius.irun Compatibility Mode
4.7.4. ius.perspec Compatibility Mode
4.7.5. questa.vcom Compatibility Mode
4.7.6. questa.vlog Compatibility Mode
4.7.7. questa.qrun Compatibility Mode
4.7.8. vcs.vhdlan Compatibility Mode
4.7.9. vcs.vlogan Compatibility Mode
4.7.10. xcelium.xrun Compatibility Mode
4.8. Paths
4.9. Strings
4.10. Comments
4.11. Environment Variables
4.12. Including Other Argument Files
4.13. Build Persistence
4.14. DVT Auto-Linked
4.15. Run a Script Before Build
4.16. All Build Directives
4.17. e Language Test Files
4.18. e Language SPECMAN_PATH
4.19. SystemVerilog OVM or UVM Library Compilation
4.20. Xilinx Libraries Compilation
4.21. Intel(Altera) Quartus Libraries Compilation
4.22. Questa Libraries Compilation
4.23. Use of External Programs
5. Breadcrumb Navigation Bar
5.1. Verification Breadcrumb
5.2. Scope Breadcrumb
5.3. Filesystem Breadcrumb
6. Compile Checks
6.1. Compile Waivers
6.2. Semantic Checks
6.3. Naming Conventions Checking
7. Content Assist (Autocomplete)
7.1. Content assist for CamelCase and Underscore
7.2. Code Templates
8. Quick Fix Proposals
8.1. Correct Spelling In Comments and Strings
8.2. Create File From Build Config Editor
8.3. Create Imported File
8.4. Declare Enum Constant
8.5. Declare Event
8.6. Declare Variable
8.7. Did You Mean
8.8. Waive Compilation Problems
9. Quick Assist Proposals
9.1. Extract to Method
10. AI Assistant
10.1. Overview
10.2. Getting Started
10.3. Key Terms
10.3.1. Sessions
10.3.2. Blueprints
10.3.3. Prompting
10.3.4. Symbols
10.3.5. Snippets
10.4. Working in Chat
10.4.1. List of Buttons, Shortcuts and Commands
10.5. Working in Editor
10.6. Reference
10.6.1. List of Commands
10.6.2. Predefined Blueprints
10.6.3. Custom Blueprints
10.6.4. Predefined Snippets
10.6.5. Custom Snippets
10.6.6. LLM Configuration
10.7. How to Set-up Local LLMs Using Ollama
10.8. Troubleshooting
10.8.1. Why do I get unexpected replies?
10.8.2. Why do I get different replies for the same request?
10.8.3. What to do if I get errors or find a bug?
11. Content Filters
11.1. Content Filters XML syntax
11.2. Filtering by element type
11.3. Content Filters Examples
11.4. Predefined Content Filters
12. Code Templates
12.1. Code Templates in Autocomplete
12.2. Global Code Templates
12.3. Project Level Code Templates
12.4. Code Templates View
13. File Templates
14. Project Templates
14.1. What is a project template?
14.2. How to generate code from a project template
14.2.1. Step 1. Specify Directories
14.2.2. Step 2. Specify Parameters
14.3. Template Configuration
14.3.1. template-config.xml
14.3.2. template.config
14.4. Add from Template
15. Code Formatting
15.1. Whitespace
15.2. Indentation
15.3. Vertical Alignment
15.4. Line Wrapping
15.5. Disable Format for Code Sections
15.6. Format Multiple Files
15.7. External
15.8. Preferences Keys
16. Inspect Extensions (Layers)
17. Override Methods
17.1. Override Annotation
17.2. Override using the Dialog
17.3. Override Using the Type Hierarchy View
18. Extend Annotation
19. Semantic Search
20. Search for References (Usages, Readers or Writers)
21. Show Instances
22. Show Constraints
23. Show Emitters
24. Quick Search in Views
24.1. CamelCase
24.2. Simple Regex
24.3. Hierarchical Search
24.4. Search for Members
24.5. Search Port in Verification Hierarchy
24.6. Search all Method Extensions
25. Refactoring
25.1. Rename Refactoring
26. Diagrams
26.1. UML Diagrams
26.1.1. UML Diagrams - Select Types
26.1.2. UML Diagrams - Preferences
26.1.3. UML Diagrams - Legend
26.2. Architecture UML Diagrams
26.3. Sequences UML Diagrams
26.4. UVM Components Diagrams
26.4.1. Diagram Filters
26.5. Diagram Actions
26.6. Diagram Toolbar
26.7. Diagram Magnifier
26.8. WaveDrom Timing Diagrams
26.9. Bit Field Diagrams for vr_ad registers
27. Export HTML/PDF Documentation
27.1. Preview HTML Documentation
28. External Tools Integration
28.1. Run Configurations
28.1.1. Run Configuration Session Type
28.1.2. Custom Shortcut and Button for a Run Configuration
28.1.3. Using environment variables in DVT Generic Run Configurations
28.1.4. Using an alias in a DVT Generic Run Configuration
28.1.5. DVT Generic Run Configuration Variables
28.2. Smart Log
28.2.1. Smart Log - Filters
28.2.2. Smart Log - Hyperlinks
28.2.3. Smart Log - Styles
28.3. External Builders
28.3.1. How to define a new external builder?
28.3.2. How to configure a filter?
28.3.3. How to invoke external builders?
28.3.4. External Builder Output
28.3.5. Source Code Markers - errors warnings
28.4. External Documentation
28.4.1. Configure External Documentation
28.4.2. Browse External Documentation
28.4.3. Search External Documentation
29. Debugger Integration
29.1. Simulation Prerequisites
29.2. Launch a Debug Simulation
29.3. Connect to an Existing Simulation
29.4. Breakpoints
29.5. Threads, Call Stack, Stepping
29.6. Variables
29.7. Watch Expressions
29.8. Debug Specific Configurations
30. Custom Dialogs
30.1. Quick Steps
30.2. Customizing the Dialog Output
30.3. SWT/XML Reference
30.3.1. Layouts
30.3.2. Widgets
30.4. Custom Dialog Snapshots
30.4.1. Custom Dialog Snapshots File Syntax (XML)
31. Command Line Interface
31.1. dvt_cli.sh
31.2. Syntax
31.3. Examples
31.4. Makefile Example
31.5. Commands
31.5.1. Create a Project (Mixed-Language Capable)
31.5.2. Create a Project From an Existing Template
31.5.3. Import an Existing Project
31.5.4. List Compiled Files
31.5.5. Compare Files
31.5.6. Launch a Run Configuration
31.5.7. Open a File
31.5.8. Close a File
31.5.9. Open a Custom Dialog
31.5.10. Open a Perspective
31.5.11. Refresh a Project
31.5.12. Rebuild a Project
31.5.13. Print Edited File
31.5.14. Quit
31.5.15. Query the running status
31.5.16. Print version
31.5.17. Run Performance Exploration
32. Macros Support
32.1. Inactive Code Highlight
32.1.1. Overview
32.1.2. Settings
32.2. Macro Expansion
32.2.1. Overview
32.2.2. Triggering
32.2.3. Tips
32.2.4. IMPORTANT NOTE
32.3. Macros and Quick Macros Views
32.4. Trace Macro Errors
33. Reminders (TODO Markers)
34. UVM Support
34.1. UVM Browser
34.2. OVM UVM Compliance Review
34.2.1. OVM UVM Compliance Review - Architecture Tab
34.2.2. OVM UVM Compliance Review - Checks Tab
34.2.3. OVM UVM Compliance Review - Statistics Tab
34.2.4. OVM UVM Compliance Review - HTML Report
34.3. UVM Content Filters
34.4. UVM Sequence Tree
34.5. UVM Verification Hierarchy
35. Settings Management
35.1. Managed Settings
35.2. Unmanaged Settings
35.3. User and Common Settings Location
35.4. Use Cases
35.4.1. Memory Allocation Thresholds
35.4.2. Workspace Preferences
35.4.3. Run Configurations
35.4.4. Compile Waivers
35.4.5. Content Filters
35.4.6. External Builders
35.4.7. Export HTML Documentation
35.4.8. Custom Pragmas
35.5. Examples
35.6. Deprecated Settings Management (before DVT 3.5)
35.6.1. Workspace Settings
35.6.2. Project Settings
35.6.3. Other Settings
35.6.4. Importing Preferences From a Different Workspace
35.6.5. Customizing Default Settings Using dvt.ini
36. Reference
36.1. Comments Formatting
36.1.1. Javadoc
36.1.2. Natural Docs
36.2. Common Shortcuts
36.3. Custom Pragmas
36.4. DVT Resource Monitor
36.5. Editor Right Click Menu
36.5.1. Folding
36.5.2. Macros
36.5.3. Source
36.5.4. Show
36.5.5. References
36.5.6. Refactor
36.6. Hyperlinks
36.6.1. Hyperlinks in Comments
36.7. Icons and Decorations
36.7.1. Icons
36.7.2. Decorations
36.7.3. Changing Icon Colors
36.7.4. Project Colors
36.8. Lazy Bring up Resources
36.9. Memory Monitor
36.10. Scripts
36.10.1. dvt.sh
36.10.2. dvt_cli.sh
36.10.3. dvt_kit_installer.sh
36.10.4. dvt_plugin_installer.sh
36.10.5. dvt_build.sh
36.10.6. evip2dvt.sh
36.11. Syntax Coloring
36.12. Themes
36.13. Tooltips
36.14. Toolbar Actions
36.15. Views
36.15.1. Checks View
36.15.2. Code Templates View
36.15.3. Compile Order View
36.15.4. Console View
36.15.5. Coverage View
36.15.6. Call Hierarchy View
36.15.7. Inspect View
36.15.8. Layers View
36.15.9. Macros View
36.15.10. Outline View
36.15.11. Problems View
36.15.12. Tasks View
36.15.13. Types View
36.15.14. Type Hierarchy View
36.15.15. UVM Browser View
36.15.16. UVM Sequence Tree View
36.15.17. Verification Hierarchy View
37. Application Notes
37.1. C/C++ support
37.2. Encrypted VIP Support
37.3. Output and logging
38. Tips and Tricks
39. Q & A
39.1. I am new to Eclipse, where should I start from?
39.2. Where can I find DVT Help?
39.3. How do I see and configure the key shortcuts?
39.4. Are there any backup files in Eclipse?
39.5. Workspace in use, cannot launch eclipse...
39.6. Locking is not possible in the directory...
39.7. How to start DVT Eclipse with a different eclipse.ini
39.8. Save could not be completed
39.9. IBM Clearcase Plugin
39.10. How do I Access Files Outside Project Dir - Working with Linked Resources
39.11. Handling UNRECOGNIZED Macros
39.12. Mapping Linux to Windows (/proj/ to Z:\proj\)
39.13. How to use Working Sets for filtering Problems/Task/Search views?
39.14. Whitespace in macro definition
39.15. Subversive vs Subclipse
39.16. How do I associate a project with both DVT and CDT?
39.17. Can I use vi/vim along with DVT?
39.18. Can I perform dos2unix or unix2dos from DVT?
39.19. How can I configure Eclipse to use a local CVS repository?
39.20. I am using the Common Desktop Environment via Citrix and experiencing crashes. What can I do?
39.21. How do I change the background color of the Editor?
39.22. Some widget colors are not displayed properly. What can I do?
39.23. How do I change the tooltip colors?
39.24. How do I change Internet Proxy Settings?
39.25. Eclipse does not start, there is no Workspace, metadata or log file created
39.26. Workspace permissions
39.27. How do I link mylyn with Bugzilla?
39.28. How do I print source code?
39.29. How do I disable Eclipse Software Sites?
39.30. How do I revert to a previous version?
39.31. What are the most common shortcuts in DVT?
39.32. How do I run Specman using IntelliGen?
39.33. How does DVT integrate with emacs?
39.34. How does DVT integrate with CVS?
39.35. How to set an environment variable within a Run Configuration?
39.36. How to run a remote Unix command from DVT Eclipse for Windows?
39.37. How do I tell DVT to skip some files from compilation?
39.38. Rebuild shortcut (Ctrl + Alt + R) does not work
39.39. I want to use an alias in a DVT Generic Run Configuration, but it's not recognized
39.40. Some files are missing from the VIPs transformed with evip2dvt.sh
39.41. How to set multiple paths as sources of predefined projects ?
39.42. Lines are suddenly changing indentation when I edit text or move the cursor through the editor.
39.43. How to change the directory where the build log file is saved ?
39.44. How to find the DVT logs on Linux/Unix ?
39.45. How to create resource filters ?
39.46. How to create custom shortcut and button for a Run Configuration?
39.47. I know that file.foo is present in the project location, but I can't see it in the Navigator View
39.48. How to copy the full path to the file in the current editor?
39.49. How to adjust the console logs filters matching parameters?
39.50. When I switch to Block (Column) Selection mode the font changes
39.51. In Block (Column) Selection mode I see strange editng artifacts
39.52. How to modify the font size in the code editors?
39.53. How to automatically checkout/lock files from the revision control system ?
39.54. How can I see if a file is read-only?
39.55. How can I open a file in DVT from the terminal?
39.56. How can I open a file in DVT from Questa?
39.57. How do I change the name of the xterm opened by a DVT Generic Run Configuration?
39.58. I get errors while installing or updating a plugin from an update site
40. What is New?
41. How to Report an Issue?
42. Legal Notices
43. Third Party Licenses