What is New?
Note
#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
#.#.# - minor version - Includes bug fixes, minor enhancements.
When switching to a new major version it is recommended to start in a new workspace.
24.2.28 (11 December 2024)
Features
vscode-141 New UVM Field Editor Wizard
DVT-17857 New Quick Assist: Split / join constraint declaration and out of body implementation
DVT-21482 Parser: Support for IEEE 1800-2023 predefined weak_reference class
DVT-21526 Parser: Support for IEEE 1800-2023 default keyword in constructor declaration
Enhancements
vscode-1751 Workspace Symbols: Semantic search should return all results matching the query_type when no name pattern is provided
vscode-1763 UVM Runtime Elaboration: Show information in the debug console when running Generate DPI-C stubs
DVT-11648 Extract to Method: Ability to extract an expression
DVT-19781 Semantic checks: Trigger error for assignment expression in constraint
DVT-21448 Assignment pattern is not evaluated for unpacked structs for type assignment
DVT-21713 AI Assistant: Format code sections returned by snippets and symbols
DVT-21730 AI Assistant: Add support for query_key:search_pattern symbol queries
DVT-21740 UVM Runtime Elaboration: Add support for 32bit DPI-C compilation
DVT-21823 AI Assistant: Split logging into debug log and model communication
DVT-21934 PVerilog: Errors triggered in a generated code section should be reported on the first line of the associated preprocessed region
Bugfixes
vscode-1283 Deactivate bin/code check on MacOS
vscode-1643 Compiled file decorators are not updated after renaming files
vscode-1651 Compile Order View is not updated after renaming a file
vscode-1652 Files are compiled out-of-scope after renaming file-level scope elements
vscode-1653 Compiled Files View is not updated after incremental build
vscode-1666 Wrong rename refactoring operation when invoked after undoing a previous rename operation
vscode-1672 Files are not incrementally compiled after selectively renaming a symbol only in some files
vscode-1754 AI Assistant: Editor sessions fail if the cursor is placed in the orginal side of the diff while the response is being generated
vscode-1761 UVM Runtime Elaboration: Breakpoints do not work on Windows
vscode-1767 Compiled Files View is incorrectly populated on Windows
vscode-1770 “Rename failed to apply edits” error is thrown when renaming file-level scope elements on Windows
DVT-12957 Extract Variable / to Method: Should not be available for incomplete expressions
DVT-14311 Extract to Method: Extracting from an extern implementation misplaces the extracted code when the prototype is written on multiple lines
DVT-17007 Extract to Variable: Wrong variable type inferred in specific scenarios
DVT-19605 PVerilog: Problem markers are missing for a preprocessing region which contains only comments
DVT-20150 & DVT-19225 PVerilog: Improper parsing when part of a macro definition resides in a generated code section
DVT-20415 PVerilog: Nested `ifdef blocks with a preprocessed body may cause improper parsing
DVT-21573 Parser: False syntax error for sequence expression not followed by semicolon in sequence declaration
DVT-21602 “Expand .* Port Connections” refactoring operation is unavailable for bound module instances
DVT-21668 Semantic check: False ILLEGAL_ASSIGNMENT reported on parameters with packed data type
DVT-21718 UVM Runtime Elaboration: Fail to evaluate non-static member in scope of function
DVT-21814 PVerilog: Improper parsing when part of a multiline comment resides in a generated code section
DVT-21815 PVerilog: Improper parsing when part of an `include statement resides in a generated code section
DVT-21816 AI Assistant: Do not allow chat sessions with empty names
DVT-21819 UVM Runtime Elaboration: $fscanf does not correctly handle multi-line input
DVT-21820 UVM Runtime Elaboration: Fail to evaluate type alias to specialized class when using class static access
DVT-21821 Declare Field/Variable Quick Fix: Wrong type inferred in plain Verilog files
DVT-21838 Build config: Files specified after +dvt_uvmhome_override directive are not compiled if no compatibility mode is specified
DVT-21884 & DVT-21943 UVM Runtime Elaboration: Wrong execution order of repeat statements within macro call
DVT-21890 AI Assistant: Remove autocomplete proposals when the proposal matches the text
DVT-21896 AI Assistant: Sometimes, an error is thrown when resending a request
DVT-21900 Semantic checks: Do not trigger ILLEGAL_ASSIGNMENT error for classes with an undefined ancestor
DVT-21919 Missing UNELABORATED_ENTITY warning after project restore
DVT-21923 Add Parameter/Generic Quick Fix is not shown after restore
DVT-21937 AI Assistant: Sometimes, Markdown code blocks are not highlighted properly in the chat
DVT-21939 Semantic checks: False UNDECLARED_IDENTIFIER error for argument of function in randomize constraint block
DVT-21956 PVerilog: Improper parsing when part of an `ifdef block resides in a generated code section
DVT-21965 PVerilog: Problem markers are missing for a single word preprocessing region on the last line of the file
24.2.27 (26 November 2024)
Features
DVT-21688 AI Assistant: Add support for Azure OpenAI provider
DVT-21772 AI Assistant: Add support for Anthropic provider
Enhancements
vscode-1736 Update VSCodium to 1.95.3 in DVT distros to support RHEL 8 and other distros based on GLIBC 2.28
DVT-19902 Ability to use paths relative to current file in compile waivers <include/> tags
DVT-21288 Semantic checks: Trigger NON_STANDARD_ENUM_ASSIGNMENT warning in assignment pattern
DVT-21773 AI Assistant: Hide sensitive language model configuration when it’s presented in the UI
Bugfixes
vscode-1737 “Unable to write to the keybindings configuration file” error is thrown when using dvt_code.sh -enable_process_separation while creating a new shortcut for the first time
vscode-1738 Sometimes NumberFormatException is thrown while resolving Code Actions
vscode-1744 AI Assistant: Unable to insert a code block from a chat conversation into a newly created, unsaved file
vscode-1745 AI Assistant: Unnecessary scroll bar is displayed in the chat for VS Code versions >= 1.95
vscode-1752 Window gets closed when opening a predefined project that needs to overwrite the target location
DVT-17791 Incorrect parameter value when defparam assignment references enclosing scope parameter
DVT-19520 UVM Runtime Elaboration: ‘Show Associated Getters in Config DB View’ is not working in some cases
DVT-21468 UVM Runtime Elaboration: ‘Show associated Setter in Config DB View’ doesn’t take into account the editor breadcrumb path
DVT-21471 UVM Runtime Elaboration: Go to element declaration doesn’t update the breadcrumb to the right context
DVT-21720 UVM Runtime Elaboration: Execution stops multiple times in breakpoint on a macro that has a loop as first statement
DVT-21726 Compile Waivers: Waivers specified through +dvt_compile_waivers_file are not restored
DVT-21758 AI Assistant: The cursor is sometimes placed in the wrong position after using auto-complete in prompt editor
DVT-21759 AI Assistant: Sometimes Undo / Redo operations do not work in prompt editor
DVT-21760 AI Assistant: Markdown code blocks missing the language specifier are not rendered properly in the chat
DVT-21765 Semantic checks: UNDECLARED_IDENTIFIER error not triggered in some cases in which the same module is elaborated multiple times
DVT-21768 UVM Runtime Elaboration: ‘Show in Config DB View’ doesn’t take into account the editor breadcrumb path
DVT-21781 AI Assistant: In certain scenarios, assistant messages fail to appear in the chat
24.2.26 (14 November 2024)
Features
DVT-18717 UVM Runtime Elaboration: Log UVM Runtime Elaboration output to a dedicated file
Enhancements
DVT-21575 AI Assistant: Trim leading whitespace for code sections returned by snippets
DVT-21662 UVM Runtime Elaboration: System task $bits does not work on predefined types
DVT-21663 UVM Runtime Elaboration: System task $typename does not work on predefined types
DVT-21664 UVM Runtime Elaboration: Add support for interface::self()
DVT-21665 UVM Runtime Elaboration: Unsupported string predefined function putc()
DVT-21666 UVM Runtime Elaboration: Sampled value functions $past, $sampled, $rose, etc. are not implemented
DVT-21681 Parser: +dvt_file_compile_timeout not working when expanding macro in string
Bugfixes
vscode-1663 Client fails to connect to the Language Server due to extra logged information in the bootstrap file
vscode-1729 AI Assistant: Sometimes the diff editor is scrolled to top even if insertion happens elsewhere
vscode-1732 AI Assistant: GitHub Copilot language models are not found when Copilot initialization takes a long time
DVT-17506 Quick Fix: Declare Function is not proposed inside modules
DVT-21143 Precompilation support: Build configuration errors are wrongfully cleared if load fails
DVT-21396 Elaboration: Wrong elaboration of sub-instance in some cases of multiple modules with the same name in different libraries
DVT-21417 UVM Runtime Elaboration: Assignment pattern evaluation fails in specific scenarios
DVT-21570 AI Assistant: Limit the length of session names generated by LLMs
DVT-21576 AI Assistant: Sometimes @selected snippet does not expand properly for single line declarations
DVT-21581 AI Assistant: Cloned sessions are not saved
DVT-21598 AI Assistant: Improve undo operation after inserting LLM generated code in the editor
DVT-21605 Performance: Speed-up elaboration when resolving many layers of same scope imbricated function calls
DVT-21640 AI Assistant: Pressing Escape when editing a session’s title after multiple renames wrongfully restores the original name
DVT-21667 UVM Runtime Elaboration: Constraint contradiction when using predefined array sum() function
DVT-21669 AI Assistant: When saving a blueprint, the name of the session is used as file name instead of the name of the blueprint
DVT-21676 Build config: +define definitions from env var expansions are ignored
24.2.25 (31 October 2024)
Features
DVT-11930 Quick Fix: Declare extern constraint prototype based on implementation
DVT-12196 Quick Fix: Implement extern constraint
DVT-21445 AI Assistant: Expand @usages of #file to the entire files that include the given file
Enhancements
DVT-17324 Ability to expand all macros in file
DVT-17920 Add non-standard warning for concatenation used instead of assignment pattern in parameter lists
DVT-18334 UVM Runtime Elaboration: Ability to add conditional breakpoints
DVT-18376 UVM Runtime Elaboration: Ability to watch expression in Expressions View
DVT-20363 Precompilation Support: Ability to apply content filters for precompiled code
DVT-20422 Enhance ILLEGAL_CAST_EXCEPTION message error with reason of failure
DVT-20739 PVerilog: New +dvt_pverilog_mode+ directive to choose between compiling preprocessed or generated files
DVT-21443 Report an Issue: Thread dump file names should be timestamped
DVT-21446 AI Assistant: Don’t include modifiers in method signatures when expanding @outline snippets
DVT-21451 UVM Runtime Elaboration: Ability to define a hit count for breakpoints
DVT-21465 AI Assistant: New snippet for outline of selected element or container
DVT-21479 AI Assistant: Trigger warning when saving a snippet with conflicting signature
DVT-21541 AI Assistant: Ignore changes to session name when Escape is pressed
Bugfixes
vscode-1700 & vscode-1721 Default scrollbars should not be visible in webviews in VS Code versions >= 1.90
vscode-1702 Sometimes database out-of-sync Status Bar item is unusable when changing the active build configuration
vscode-1722 Content Filters are not applied properly when connecting to a standalone Language Server for the first time
DVT-13506 Trigger IMPORT_TYPE_WITHOUT_EXPORT warning when a field declaration references a not exported package type
DVT-20605 UVM Runtime Elaboration: Collapse multiple threads of the same kind into a single thread
DVT-20688 Semantic checks: Implicit signal not declared in `included module fragment even when a default nettype is defined
DVT-20727 Elaboration: Global scope constant elaborated in wrong library in a multiple libraries design
DVT-20794 False syntax error for local keyword in Verilog 2005
DVT-21005 Compile Order View: Missing ‘shallow’ label decoration for files included in shallow compiled modules
DVT-21230 False SIGNAL_NEVER_USED warning for variable used in randomize with constraint block
DVT-21416 UVM Runtime Elaboration: UVM test name is missing in Config DB View label when stopped in breakpoint
DVT-21439 UVM Runtime Elaboration: UVM test name is missing in Factory Overrides View label when stopped in breakpoint
DVT-21440 UVM Runtime Elaboration: UVM test name is missing in Registers View label when stopped in breakpoint
DVT-21441 UVM Runtime Elaboration: Incorrect UVM test name in Verification Hierarchy View label when stopped in breakpoint
DVT-21442 Report an Issue: Collecting thread dumps for full build, elaboration or linting overwrites previously generated files
DVT-21447 AI Assistant: Remove duplicate autocomplete proposals for files compiled multiple times
DVT-21463 AI Assistant: Sessions with unavailable models are not restored
DVT-21464 AI Assistant: Wait for model initialization to complete
DVT-21513 Quick Fix: Applying “Implement Extern Method” results in wrong insertion location when the class and extern prototype are in different files
DVT-21525 AI Assistant: Snippets are not properly expanded if they are directly followed by a dot
DVT-21540 AI Assistant: Sometimes the session name cannot be changed
DVT-21569 UVM Runtime Elaboration: False error when $fopen file path contains environment variables
24.2.24 (14 October 2024)
Bugfixes
DVT-21428 AI Assistant: Fixed missing blueprint ‘Add comments for the selected code’
24.2.23 (11 October 2024)
HIGHLIGHTS
New AI Assistant
Runtime UVM Configuration Queries can now be performed while execution is in progress (suspended in a breakpoint)
CLEANUP - vscode-1693 Increased VS Code minimum required version to 1.93.1
Features
DVT-20801 New AI Assistant
Enhancements
vscode-1692 Update VSCodium to 1.93.1 in DVT distros
DVT-20887 Trigger non-standard warning for loop statement initialization without initial assignment
DVT-21381 Trigger error when assigning an expression to ref method argument of class type
DVT-21395 New elaboration check: Trigger error for class constructor calls in constant functions
Bugfixes
vscode-1703 Problems found during a cancelled full build are not shown in the Problems View
DVT-10862 Flag illegal ‘automatic’ variable declaration lifetime in non-procedural contexts
DVT-21413 UVM Runtime Elaboration: Ternary operand evaluation fails in specific scenarios
24.1.22 (1 October 2024)
Features
DVT-20969 UVM Runtime Elaboration: Ability to see partially computed Config DB View while stopped in breakpoint
DVT-20970 UVM Runtime Elaboration: Ability to see partially computed Registers View while stopped in breakpoint
DVT-20972 UVM Runtime Elaboration: Ability to see partially computed Factory Overrides View while stopped in breakpoint
DVT-20974 UVM Runtime Elaboration: Ability to see partially computed Verification Hierarchy View while stopped in breakpoint
DVT-21270 Memory Monitor: New “proactive” memory handling strategy with lower memory thresholds to ensure optimal performance
Enhancements
vscode-1460 Show a startup warning when the current VS Code version is not compatible with next DVT releases
vscode-1557 Ability to hyperlink to file@line in the output of VS Code Tasks
DVT-19732 UVM Runtime Elaboration: Ability to perform debugging during static initialization
DVT-20126 Trigger error for defparam assignment of type parameter
DVT-21043 Trigger non-standard warning for virtual interface that has interface ports
DVT-21258 Trigger semantic warning for non-rand variables in solve-before, unique, dist constraints
DVT-21262 Trigger semantic error for randc variables in solve-before, distribution, and unique
DVT-21333 Trigger semantic error for variable written in always_comb/always_ff blocks that is also written by other processes
Bugfixes
vscode-1698 Do not automatically open the corresponding compiled file with the same canonical path when opening the file in Git Diff Mode
vscode-1701 Language Server fails to start when the corresponding log files are deleted immediately after creation
vscode-1712 Workspace Symbols: Limit set by the “DVT.workspaceSymbols.maximumNumberOfSymbols” preference may be exceeded for mixed-language projects
DVT-20796 False UNELABORATED_PACKAGE warning for VHDL package referenced through package scope in VLOG
DVT-20999 Full elaboration notification wrongly triggered in specific scenarios
DVT-21085 Do not check case expression signing and bit size in unelaborated code
DVT-21159 False UNDECLARED_IDENTIFIER error for static access of variable defined in named sequential block
DVT-21249 Trigger ILLEGAL_INTEGER_CONSTANT warning for illegal size constants used in expressions
DVT-21268 Memory Monitor: +dvt_set_memory_starvation_handling_parameters taken into account only for the build operation
DVT-21328 Wrong error line when the file ends with a single line comment
24.1.21 (18 September 2024)
Performance
vscode-1645 Speed-up opening files from Design Hierarchy / Verification Hierarchy / Compile Order
Features
vscode-811 Ability to create new DVT project from template
Enhancements
vscode-1681 Design Hierarchy View: “Hide generates without instances” should be enabled by default
DVT-20017 Add non-standard support for declaration of non-ANSI port with duplicated direction
Bugfixes
vscode-1655 Workspace Symbols: Packages declared using the -cuname directive are not displayed
vscode-1685 Design / Verification Breadcrumb is not cleared when the active editor is not a SystemVerilog / VHDL file
vscode-1686 Internal __vlog__ files should not be incrementally compiled
DVT-19725 Trigger error for undefined variable in a coverpoint expression
DVT-19946 Precompilation support: Syntactic errors in precompiled files are not shown
DVT-20200 Trigger error for redeclaration of ANSI argument inside function body
DVT-20706 Hyperlink to the definition of a bound module does not work in specific scenarios
DVT-20730 Precompilation support: Sometimes parameter values are not computed if save configuration contains +dvt_unelaborated_compile_checks+NONE
DVT-21115 Precompilation support: +dvt_precompiled_db_build_cmd is not taken into account if <precompiled_db_path>/<dvt_version> folder does not exist
DVT-21227 License: Disable checkout optimizations to avoid FlexLM server bugs causing ‘Failed to get licenses from trusted storage (-220,..)’ errors
DVT-21266 UVM Runtime Elaboration: Randomize call stuck during evaluation of unique and dist constraints on unpacked arrays
DVT-21327 Syntactic errors are not updated at restore in specific scenarios
24.1.20 (4 September 2024)
Enhancements
vscode-775 Automatically open corresponding compiled files with the same canonical path when source files are accessed
vscode-810 Compiled Files View: Ability to add folder to workspace from context menu
vscode-965 Ability to set formatting preferences at workspace folder level when working in a multi-root workspace
vscode-1555 Highlight error and warning messages in the “[dvt] Build” console
vscode-1649 Full build should cancel an ongoing incremental compilation
DVT-15335 Trigger error when assigning a value to an interface port
DVT-19898 Allow change of localparam values with the +dvt_defparam directive
DVT-20978 Registers View: Add ability to see the number of registers
DVT-21040 Factory Overrides View: Add ability to see the total number of factory overrides
DVT-21067 UVM Runtime Elaboration: Variables View should have the ability to expand key value information in associative arrays
DVT-21161 UVM Runtime Elaboration: Improve the representation of structures in Variables View
Bugfixes
vscode-746 Content assist: Missing proposals after inserting a whitespace after ‘=’
vscode-757 Content assist: Proposals that modify code before the cursor are not displayed
vscode-853 Content assist: Preceding white space is removed in specific scenarios
vscode-1543 Code Templates: Wrong replacement for predefined code templates containing dollar characters
vscode-1621 Only the DVT-specific environment variables should be injected into the integrated terminal
vscode-1654 UVM Runtime Elaboration doesn’t start when ‘Additional arguments’ are separated by new line
vscode-1659 “DVT: Show PVerilog Preprocessed/Generated File” should not be visible in Command Palette when the extension is not active
DVT-18444 Module instantiated through a bind directive cannot be a top candidate
DVT-21118 UVM Runtime Elaboration: Packed structured types should not appear in Variables View when used as keys in associative arrays
DVT-21142 Show readers/writers: ‘ref’ port usages should be marked as RW access
DVT-21207 Package constant in loop generate block condition is not elaborated in some cases
24.1.19 (22 August 2024)
Performance
DVT-21137 Code Formatting: Indenting preprocessing directives can lead to OutOfMemoryError
Features
DVT-10723 Quick Assist: Ability to expand/collapse macros
Enhancements
vscode-820 When there is no semantic proposal, let VS Code show textual proposals instead of showing the “[no proposals]” proposal
DVT-17656 Trigger error when assigning unpacked value to untyped parameter
DVT-20946 UVM Runtime Elaboration: Improve the look and feel of arrays in the Variables View
DVT-21062 Trigger semantic error for invalid super call in implicit class constructor
DVT-21128 UVM Runtime Elaboration: Ability to exclude paths from design optimization algorithm
DVT-21148 UVM Runtime Elaboration: Ability to print stack trace in console
Bugfixes
vscode-874 Content Assist: Sometimes the tooltip content is not properly formatted
vscode-1385 Compile Order View: IllegalArgumentException thrown when invoking “Go to include” on the first entry in build config hierarchy
vscode-1546 Sometimes `include references are wrongly updated when renaming files
vscode-1629 Speed-up opening source files that contain both Linux and Windows line separators
vscode-1638 Compile Waivers are not properly cleared before full build
vscode-1639 Undoing a rename operation that affects a file-level scope element included in other files does not revert all changes
vscode-1640 Refactor Preview Panel does not display changes from `include references when renaming a file-level scope element
vscode-1644 Multiline comment ending is not properly aligned when pressing Enter
vscode-1647 Sometimes WaveDrom and Bitfield diagrams are not displayed on Windows
DVT-13023 Design Diagrams: Show Connections not working when selecting an interface or structure port
DVT-20834 UVM Runtime Elaboration: Exception thrown when expanding a struct in Variables View
DVT-20873 UVM Runtime Elaboration: Breakpoints in `included block fragments are not taken into account
DVT-20919 Design Diagrams: Display interfaces and structs as instances instead of connections in specific scenarios
DVT-20937 UVM Runtime Elaboration: Tracepoint throws ‘Failed to evaluate’ exception when using index variable in trace message
DVT-21018 UVM Runtime Elaboration: Integer data types should not be expandable in Variables View
DVT-21042 False MISSING_PARAMETER_OVERRIDE error for class that extends type parameter when used in typedef
DVT-21044 Trigger ILLEGAL_VARIABLE_ASSIGNMENT error for assignments in methods
DVT-21061 UVM Runtime Elaboration: Variables View does not display the index variable in loop generate constructs in some cases
DVT-21069 Precompilation support: Incorrect parameter evaluation after load in specific scenarios involving function calls
DVT-21087 UVM Runtime Elaboration: Variables View should not display as variables the enum items declared in the current debug scope
DVT-21100 Precompilation support: Missing WIDTH_MISMATCH warnings after load in assignments directly under classes
DVT-21109 UVM Runtime Elaboration: Wrong Actual Type for elements of packed arrays and members of packed structures
DVT-21117 Precompilation support: Broken highlight in functions used in parameter assignments
DVT-21120 Precompilation support: Incorrect tooltip identifiers declared in parameterized classes
DVT-21122 Generate block with function call condition not elaborated in some cases
DVT-21126 Missing warning for hierarchical function access in some cases
DVT-21129 Wrong parse time reported for projects with multiple invocations
DVT-21150 Code Formatting: Wrong indentation of `endif if the associated `ifdef is not first in line
DVT-21162 False ILLEGAL_PARAMETER_OVERRIDE error for typedef of virtual interface
24.1.18 (7 August 2024)
Features
vscode-1402 When opening a multiline comment automatically insert the ending
Enhancements
vscode-1530 Blocking notifications should be more visible
DVT-16997 Trigger semantic error for field of type interface
DVT-20327 Precompilation support: Loading a database that was compiled with a different DVT version should fail fast
DVT-20328 Precompilation support: Ability to save the database for multiple DVT versions
DVT-20949 UVM Runtime Elaboration: Ability to disable issues threshold
DVT-20966 Build config: New +dvt_uvmhome_override directive allowing to override UVM library location specified by any other means
DVT-21003 Precompilation support: Ability to ignore precompiled file changes
DVT-21064 Code Formatting: Add abstract_utils macros to the default list of “Open/Close Scope Macros”
DVT-21089 Trigger semantic error when assigning an interface instance or port to a type parameter
Bugfixes
vscode-1484 Unable to expand/collapse language nodes in Compile Order View
vscode-1606 Multiple file selection is not considered when using the “Add to Build Configuration File” and “Skip from Compilation” commands
vscode-1617 In certain scenarios, the “All filtered by Content Filters” message is wrongly displayed in the Compile Order View
vscode-1622 “Build” and “Cancel Build” commands should always be available in the Command Palette
DVT-20807 UVM Runtime Elaboration: Enclosing scope variables are not shown in Variables View when run is suspended in a method
DVT-20812 UVM Runtime Elaboration: In specific scenarios, DPI-C stubs generation fails due to false signature mismatch error
DVT-20813 UVM Runtime Elaboration: Generated DPI-C stubs file has errors when there are more than 1000 imported methods
DVT-20814 UVM Runtime Elaboration: Improve DPI-C signature mismatch error message
DVT-20841 False ILLEGAL_HIERARCHICAL_ACCESS error for upward hierarchical identifier used in property expression
DVT-20845 False ILLEGAL_ASSIGNMENT error for different signing when operand types have package scope specified
DVT-20922 UVM Runtime Elaboration: Fixed foreach loop executing once over empty string
DVT-20979 UVM Runtime Elaboration: VPI/DPI errors are not filtered by +dvtx_runtime_elab_issue_filter_regex
DVT-20984 Quick Fix: “Update prototype to match extern implementation” doesn’t preserve the argument direction
DVT-20994 UVM Runtime Elaboration: In specific scenarios, array reallocation uses the wrong size
DVT-21008 Precompilation support: False errors may be thrown when triggering full compilation on a precompiled module
DVT-21028 Unnamed generate block in included file becomes inactive at incremental
DVT-21057 UVM Runtime Elaboration: Execution fails silently for classes that don’t call super constructor with arguments
DVT-21063 False SIGNAL_NEVER_WRITTEN warning for usage in std::randomize call
DVT-21065 Code Formatting: Curly brackets are not properly indented within constraints
DVT-21075 False error for intra-assignment delay control in function
24.1.17 (26 July 2024)
Features
vscode-1607 Ability to open VS Code / VSCodium instances on multiple display servers
vscode-1630 Ability to start isolated VS Code / VSCodium instances using dvt_code.sh -enable_process_separation
Bugfixes
vscode-1001 Using dvt_code.sh without additional arguments when no other window is open should restore the last window state
vscode-1587 Window gets closed when opening a predefined project that needs to overwrite the target location
vscode-1609 dvt_code.sh -noexit should not release the terminal when switching the workspace folder
24.1.16 (25 July 2024)
Performance
DVT-21013 UVM Runtime Elaboration: Speed up and reduce memory footprint
Enhancements
vscode-1229 Incrementally compile changes made outside VS Code when opening a file
vscode-1302 Workspace Symbols: Display the qualified name to prevent ambiguities caused by name collisions of symbols defined in different scopes
vscode-1615 Compile Waivers: Automatically reapply changed compile waivers when saving build configuration files
vscode-1618 Thread Dump Collector: Change the default location for Language Server thread dumps to .dvt/ls/logs/profiling
vscode-1624 PVerilog: Ability to navigate to the associated preprocessed code for each generated section using CodeLens
vscode-1625 PVerilog: Ability to see and navigate to the associated preprocessed code for each generated section using Go To / Peek Declaration
DVT-19703 Compile Waivers: Ability to add waivers from the dialog to corrupted or empty waiver files
DVT-20266 PVerilog: Ability to navigate from the generated file to the preprocessed file using the editor context menu
DVT-20629 Precompilation support: Add configuration examples in uvm_ref_flow predefined project
DVT-20630 Shallow compilation: Add configuration examples in uvm_ref_flow predefined project
DVT-20640 Precompilation support: Create the precompiled_db directory if it does not exist
DVT-20666 Code Formatting: Improve indentation for multi-line expressions following equality operators within constraints
DVT-20686 UVM Runtime Elaboration: Ability to expand structured datatypes / packed arrays in the Variables View when debugging
DVT-20844 Thread Dump Collector: Log delta information when incremental build takes longer than expected
Bugfixes
vscode-1397 Problems View is not always updated after an error/warning has been waived
vscode-1596 Rename refactoring: ‘files.refactoring.autoSave’ preference is not taken into account for files containing `include changes
vscode-1612 Wrong error message when invoking dvt_ls script without mandatory argument “-lang”
DVT-20021 False DUPLICATE_PORT error for Verilog-AMS port declaration when the specified discipline is logic
DVT-20074 Trigger DUPLICATE_DECLARATION error for multiple nettype declarations of the same port
DVT-20163 Do not declare different signal for separate discipline declaration in Verilog-AMS
DVT-20846 Trigger error for package scope access of enum literal incorrectly made visible through import statement
DVT-20910 Code Formatting: Wrong indentation after an `ifdef block which contains special keywords
DVT-20918 UVM Runtime Elaboration: Imported DPI-C methods truncate return values to 32 bits
DVT-20921 UVM Runtime Elaboration: False “Predefined system task $bits not implemented” error
DVT-20930 UVM Runtime Elaboration: Unknown evaluation for unpacked bit streams arrays with empty initialization
DVT-20931 UVM Runtime Elaboration: Wrong evaluation of reading and writing a variable slice of the array
DVT-20942 UVM Runtime Elaboration: Stepping over uvm_config_db#(type) requires two “Step over” actions in some cases
DVT-20964 UVM Runtime Elaboration: Failed to evaluate unique constraints
DVT-20985 Code Formatting: Add whitespace before/after should not break the “:=” operator
DVT-20993 UVM Runtime Elaboration: Unsupported $cast for uvm_objects part of queues
24.1.14 (9 July 2024)
Performance
DVT-20372 Speed-up parsing on Java >= 12
Enhancements
vscode-1574 Do not stop the full build when compile waivers are invalid
vscode-1588 Code Factory: Add ‘Clear Code Factory Input’ to the list of content assist proposals
vscode-1591 Text inserted via DVT commands should take into account the file-level formatting preferences
vscode-1593 Light theme is not applied properly to the “Build Project (Parser Profile)” webview
vscode-1603 Improve error handling when using the restore mechanism
DVT-20754 Code Formatting: Improve “Module Port Declarations” vertical align pattern handling of port direction
DVT-20886 & DVT-20863 & DVT-20872 Code Formatting: Improve UX when selecting vertical align patterns
Bugfixes
vscode-1590 Do not focus DVT views when views-related commands are invoked on invalid elements
vscode-1594 File mappings are incomplete when using multiple invocations in the active build configuration
DVT-19822 Code Formatting: Vertical alignment for module port declarations does not work properly for the last port declaration
DVT-20732 Code Formatting: “Module Port Declarations” vertical align pattern does not work due to comments before comma
DVT-20788 False duplicate states for a variable accessed both with and without a package scope
DVT-20857 UVM Runtime Elaboration: Array assignment does not work in specific scenarios
DVT-20864 Thread Dump Collector: Sometimes, temporary directories created during parser profiling can’t be deleted on NFS
DVT-20868 UVM Runtime Elaboration: Wrong execution order fragments included in the same block
DVT-20915 UVM Runtime Elaboration: Execution fails for ‘inside’ operator used with an unpacked array concatenation
24.1.13 (26 June 2024)
Performance
DVT-20705 & DVT-19231 Speed-up verification top selection when multiple elaboration snapshots are available
DVT-20759 Code Formatting: Speed-up formatting when code contains multiple assignment operators used within macro calls
DVT-20764 Speed-up incremental compilation when changing a macro definition and the file system is slow
DVT-20817 Speed-up incremental compilation when making changes inside classes defined under large packages
Enhancements
vscode-1260 Report an Issue: Show a notification when there is not enough disk space to generate the report
vscode-1476 & vscode-1570 Report an Issue: Improve user experience when double clicking on a binary file in the Attachments section
vscode-1539 Report an Issue: Restructure collected data
vscode-1563 When using custom file mappings, modify the editor Language Mode instead of modifying the files.associations preference
vscode-1573 Compile waivers: Added new “Reapply Waivers” command
DVT-19999 DVT Registers View: Ability to browse the statically computed registers hierarchy
DVT-20769 UVM Runtime Elaboration: Add support for string built-in methods hextoa, octtoa, bintoa, realtoa
Bugfixes
vscode-1378 Report an Issue: Diagnostics are not collected if the Diagnostics View is not opened
vscode-1523 Compile waivers: Creating a new compile waiver after the waivers.xml file was previously deleted will append the new waiver to the old file state
vscode-1535 Compile waivers: Deleting the waivers.xml file does not update the problems to their original severity
vscode-1582 Compile waivers: Update auto-generated example waivers to match their description
vscode-1599 The -map argument for dvt_code.sh does not work when specified multiple times
vscode-1600 Compile waivers: “Loaded Compile Waivers …” message is displayed in the “[dvt] Build” output whenever an incremental build is triggered
DVT-20641 Code Formatting: Wrong vertical alignment for function declarations with bit vector return type
DVT-20652 Code Formatting: Do not vertically align colons delimiting code block labels
DVT-20658 Code Formatting: Vertical align patterns should not be applied within block comments
DVT-20692 UnsupportedOperationException thrown during width checking for indexed range select
DVT-20735 UVM Runtime Elaboration: Env variables set via build config and runtime args are not injected in the DPI-C execution environment
DVT-20750 False UNDECLARED_IDENTIFIER error for bind parameter found in explicit import
DVT-20755 Code Formatting: Wrong vertical alignment for “Function Declarations” when the direction of the first parameter is not specified
DVT-20757 UVM Runtime Elaboration: Wrong execution of “force” statements on packed struct member
DVT-20758 UVM Runtime Elaboration: Execution fails when “{}” is passed to a queue method argument
DVT-20771 Code Formatting: Vertical alignment of single-line comments should be disabled between encrypted code pragmas
DVT-20785 UVM Runtime Elaboration: All failed final assertion are all wrongly reported to the console in the same file
DVT-20808 Precompilation support: Parameter values are not computed for library cells
DVT-20818 Encrypted code auto-close: Does not work when unencrypted sections contain export DPI-C function statements
DVT-20820 UVM Runtime Elaboration: Evaluation fails for port connections between array of modports and array of instances
DVT-20827 Thread Dump Collector: Parser profiling should ignore internal files
DVT-20828 Thread Dump Collector: Zip files generated by parser profiling are sometimes empty
DVT-20829 Incremental builds performed in quick succession may deactivate the “Open Declaration” hyperlink in -y compiled modules
24.1.12 (11 June 2024)
Enhancements
DVT-19984 Editor Notification: Suggest sending an Issue Report when incremental build takes longer than expected
DVT-20529 Added memory starvation handling mechanism for UVM Runtime Elaboration
DVT-20536 UVM Runtime Elaboration: Improve error handling for unimplemented import DPI-C function
DVT-20709 Thread Dump Collector: Log incremental build statistics in JSON format
DVT-20710 Thread Dump Collector: Overwrite incremental build thread dumps collected for the same compilation delta
Bugfixes
DVT-20348 Precompilation support: “Go To Include” action does not properly work for files compiled using +dvt_init_xilinx or +dvt_init_altera directives
DVT-20387 Code Formatting: Disable code formatting in PVerilog preprocessed code sections
DVT-20495 UVM Runtime Elaboration: Support DPI-C method names colliding libc defined functions
DVT-20608 UVM Runtime Elaboration: $fatal() messages are not printed to the console
DVT-20625 Code Formatting: Wrong indentation of `else following particular constructs
DVT-20634 Code Formatting: Disable formatting between encrypted code pragmas
DVT-20643 Code Formatting: Do no indent single-line comments within multi-line macro definitions
DVT-20671 Precompilation support: False “out of sync” editor notification can sometimes be triggered
DVT-20672 Precompilation support: False “DUPLICATE_FUNCTION” warning for functions declared via macro calls in files compiled both in save and load
DVT-20684 UVM Runtime Elaboration: Tracepoints do not work in specific scenarios
DVT-20711 Precompilation support: Sometimes the value is not computed for parameters declared directly under packages
DVT-20712 Precompilation support: Sometimes the value is not computed for enums declared directly under packages
DVT-20728 Thread Dump Collector: Writing thread dumps can cause UI freezes in specific scenarios
DVT-20731 Precompilation support: Sometimes the value is not computed for parameters declared directly under libraries
DVT-20744 UVM Runtime Elaboration: Add support for svGetUserData / svPutUserData DPI-C standard functions
24.1.11 (29 May 2024)
CLEANUP - DVT-20624 Change site URL from dvteclipse.com to eda.amiq.com
Enhancements
vscode-1421 Ability to “Copy” from Design Hierarchy / Verification Hierarchy / Compile Order using the context menu
vscode-1542 Ability to customize the filename and target directory when using “Create non-existing class / interface” quick fix
vscode-1578 UVM Runtime Elaboration: Prompt to stop the elaboration in progress when triggering a full build
vscode-1579 Ability to start a new full build from the pop-up notification when another full build or restore is in progress
DVT-16954 Thread Dump Collector: Ability to profile a file during parsing
DVT-20315 Thread Dump Collector: Ability to generate thread dumps for files in build report tops
DVT-20581 Update WaveDrom package to v3.5.0
DVT-20606 UVM Runtime Elaboration: Improved look and feel for Variables View icons and labels
DVT-20632 Demote ‘const’ followed by ‘rand’ qualifier for class properties to non-standard warning
DVT-20639 UVM Runtime Elaboration: Improved debuggability and accuracy
Bugfixes
vscode-1558 Consecutive file saves result in wrong highlighting when DVT.build.cancelOngoingIncrementalBuild is enabled
vscode-1565 Problems View is not updated correctly after consecutive file saves when DVT.build.cancelOngoingIncrementalBuild is enabled
vscode-1566 Sometimes files opened by DVT commands are not focused automatically
vscode-1575 Language Server fails to start on Windows 11
vscode-1580 Adding or skiping files from compilation using Explorer context menu does not work on Windows
DVT-19245 Disable Memory Monitor when using custom settings affecting the JVM garbage collection
DVT-20314 Inactive code highlight does not work for `ifdef … `endif blocks placed on the same line in specific scenarios
DVT-20486 Code Formatting: Wrong indentation of multi-line assignments within open parenthesis
DVT-20497 Quick Fix: ‘Declare field’ inserts fully qualified name for parameterized types declared in other packages even if the type was already imported
DVT-20548 Assignment pattern with replication not evaluated for base type ‘real’
DVT-20558 ILLEGAL_ASSIGNMENT error disappears at incremental for function call inside compilation unit class scope
DVT-20622 Fixed StackOverflowException thrown in long chains of if … else if constructs in specific scenarios
DVT-20627 Cannot evaluate assignments when left-hand side is a streaming concatenation
24.1.10 (14 May 2024)
Features
vscode-1320 & vscode-1407 New version of dvt_ls.sh and dvt_code.sh using native binary instead of script
Enhancements
DVT-17633 Code Formatting: Add vertical alignment patterns that group types and names of different entity declarations with dimensions
DVT-20292 Code Formatting: Do not vertically align comments following keywords delimiting code blocks
DVT-20479 UVM Runtime Elaboration: Hyperlink GCC errors/warnings/notes shown in the console
DVT-20522 Conditions for if/else if statements should be displayed in reverse order in Types and Outline views
DVT-20530 Improve display string for range specification in error messages
Bugfixes
vscode-1550 Extract to method doesn’t work properly in files modified after full build
vscode-1552 ‘files.refactoring.autoSave’ preference is not taken into account after applying refactoring operations with quick pick
vscode-1559 ‘Add argument’ refactoring doesn’t insert whitespace after escaped identifiers
DVT-20199 Precompilation support: UVM objects not shown in Verification Hierarchy View if the left-hand side of the create call involves a hierarchical access
DVT-20251 StackOverflowException thrown in long chains of if … else if constructs
DVT-20355 Memory Monitor: Problems wrongly reported in specific scenarios when the JVM -Xmx and -Xms argument values are different
DVT-20443 Incremental build broken in a -y library file with `ifndef guards
DVT-20474 UVM Runtime Elaboration: DPI-C stubs not generated properly for packed structs
DVT-20480 UVM Runtime Elaboration: DPI-C stubs not generated properly for type aliases
DVT-20482 Predefined ‘this’ is incorrectly resolved when its class scope contains inner types with same name
DVT-20483 UVM Runtime Elaboration: DPI-C Stubs not generated properly for struct fields which are referred via typedef aliases
DVT-20493 Package constant may not be evaluated when import declarations are present in the compilation unit scope
DVT-20499 Implement Missing Pure Virtual Methods Quick Fix: Method type parameters are omitted from method implementation
DVT-20501 Implement Missing Pure Virtual Methods Quick Fix: Method return type should not be qualified when scope is already imported
DVT-20504 No width mismatch warning triggered when the left-hand side of the assignment is a function implicit variable
DVT-20506 Change Method Signature: Adding and removing arguments removes trailing whitespace from the first argument
DVT-20509 Hyperlink not working for VHDL nested generate block referenced in Verilog hierarchical identifier
DVT-20514 Build Config: Do not trigger error for -xlrm module_xmr directive
DVT-20515 False UNDECLARED_IDENTIFIER error at incremental for imported name when file is compiled multiple times
DVT-20518 UVM Runtime Elaboration: Static initialization of constants and enums fails in specific scenarios
DVT-20519 UVM Runtime Elaboration: Fails for cross-library enum value references
DVT-20528 Build config: +dvt_set_directive_nof_args directive does not work for variadic arguments
24.1.8 (24 April 2024)
CLEANUP - vscode-1512 The information displayed in the “[dvt] Build” output differs from the one displayed in the DVT Eclipse build console when canceling full build
Features
DVT-20282 Code Formatting: Add option to compact whitespace groups only for vertical-align patterns
Enhancements
vscode-1504 Add support for linked edits in refactoring operations and quick fixes
vscode-1533 Display pop-up messages when timeouts occur during specific build phases
DVT-20272 Build Report: Collect top files by compilation speed
DVT-20360 Remove NON_STANDARD warning for $stacktrace system call
DVT-20368 Build Report: Collect top -v files by compile time
DVT-20439 Evaluate ‘for’ loop statement with missing initialization, condition or step
Bugfixes
vscode-1362 Cursor jumps to the wrong position after applying refactoring operations
vscode-1386 Diagnostics View is not updated if view was visible while restarting the Language Server
vscode-1501 Applying the ‘Create Included File’ quick fix does not clear the fixed problem
vscode-1515 “Create non-existing class / interface” quick fix adds wrong `include statement
vscode-1531 Sometimes errors from build configuration file are not displayed in Problems View when using autoconfig
vscode-1538 Compilation-related timeout directives are not taken into account
vscode-1540 Report an Issue gets stuck in “Collecting data…” until the Language Server is no longer actively processing requests
vscode-1541 “Go to definition” does not work in some build configuration files
vscode-1545 Project compilation database is incorrectly saved when the active build configuration is not the default
DVT-9948 ‘Jump to case branch’ hyperlink does not work across files
DVT-15926 In specific scenarios, implicit signals are not declared in generate loops
DVT-19606 PVerilog: `include from the beginning of a preprocessing region should not compile the specified file
DVT-20271 False SELECT_NOT_ALLOWED errors for VHDL arrays used in SystemVerilog code for mixed-language projects
DVT-20338 Code Formatting: Wrong indentation of multi-line statements within a cross construct
DVT-20349 Parse time displayed in build console is not always correct
DVT-20373 PVerilog: Improper parsing when encountering `endif without `ifdef in a preprocessing region
DVT-20374 False NON_EXISTING_TYPE error for interface type declaration when the interface port is of type modport
DVT-20386 False errors reported when calling a constructor with the “::” static access modifier
DVT-20390 In some cases, the default value of a parameter is not evaluated when the same module is instantiated both in Verilog and VHDL
DVT-20393 PVerilog: Improper parsing when a preprocessing region starts with a macro
DVT-20425 Do not declare implicit signal for left-hand side of cast operation
DVT-20433 False MULTIPLE_VARIABLE_ASSIGNMENTS error in module compiled multiple times that contains a named always block
DVT-20434 Code Formatting: “Do not indent multi-line content of assigns” does not work if the assign contains an `ifdef … `else … `endif block
DVT-20441 False UNDECLARED_IDENTIFIER error when accessing record array member in a hierarchical name
24.1.7 (9 April 2024)
CLEANUP - vscode-1527 Rename the “DVT.typeHierarchyView.prependPackageName” setting to “DVT.typeHierarchy.prependPackageName” - vscode-1528 Rename the “DVT.systemVerilog.registersValuesRadix” setting to “DVT.registers.addressRadix”
Features
vscode-1268 Ability to generate and open a build report by using “Open Build Report” command
Enhancements
vscode-1451 Use a tree structure for the preferences UI
vscode-1497 Ability to navigate to previous steps in Code Actions quick picks
vscode-1519 Report an Issue: Include the dvt_build.log file
vscode-1520 Ability to open the build log file by using “Open Build Log” command
vscode-1521 Ability to focus the “[dvt] Build” output by using “Open Build Output” command
DVT-20352 Elaboration support for evaluating sub-instance hierarchical identifiers used in parameter value expressions
Bugfixes
vscode-686 Wrong indentation when using Override Methods
vscode-1364 Remove and reorder argument refactorings should not be available outside the method signature context
vscode-1518 Refactoring: “Current directory” option can’t be customized anymore after cancelling the file system browsing when using “Move selection to new file…”
vscode-1526 Memory Monitor: Linting pop-up notification is still displayed after memory error is thrown during build
vscode-1534 Language server metadata should be logged to the [DVT] Client console only when the state changes
DVT-17652 Print build configuration errors in the build console
DVT-19821 Code Formatting: `endif should be aligned with its corresponding `ifdef
DVT-20197 Compile Order View: Wrong label for files which are both precompiled and shallow compiled
DVT-20222 Code Formatting: wait() not followed by a semi-colon breaks formatting
DVT-20250 Precompilation support: “Go to Include” action does not properly work
DVT-20253 Parsing breaks when triggering an incremental compilation inside a file that contains `include for type parameter assignment
DVT-20255 Code Formatting: All vertical align patterns should align packed/unpacked dimensions the same way
DVT-20291 Code Formatting: “By Name Port Connections” should not vertically align multiple port connections per line
DVT-20295 Compile Waivers: Wrong path separator when displaying file paths in the “Create Waiver” dialog on Windows
DVT-20297 False error reported at incremental build for included files inside module port connections and parameter assignments
DVT-20298 Encrypted code auto-close: Not working when class is defined before typedef in different included files
DVT-20302 Print parsing time in the build log/console
DVT-20304 Print information related to the skipped -y / -v libraries in the build log/console
DVT-20312 False syntax error thrown on macro call whose expansion is a timescale unit
DVT-20350 PVerilog: Preprocessing regions are not identified properly in particular scenarios
DVT-20358 False semantic errors caused by a type alias whose value involves a parameter hierarchical access to another inherited parameter
DVT-20359 False ILLEGAL_ASSIGNMENT semantic error when the interface instance and virtual interface argument refer to interfaces in different libraries
DVT-20380 False ILLEGAL_ASSIGNMENT error when an operand is a typedef of an unpacked array and the other is the same unpacked array with the same signing
24.1.6 (27 March 2024)
Performance
DVT-20212 Speed-up incremental build in modules with package imports
DVT-20258 Speed-up incremental compilation when changing files with multiple definitions of the same macro
DVT-20261 PVerilog: Speed-up mapping computation time in specific scenarios
CLEANUP - vscode-1514 Rename “DVT.textEditor.systemVerilog.highlight.<dark/white>ThemeColor” setting to “DVT.textEditor.systemVerilog.highlight.inactiveCode<Dark/White>ThemeColor”
Enhancements
DVT-19953 Compile Waivers: Relative paths should not start with a slash character in the Create Waiver dialog drop-down
DVT-20256 License: Improved license management when encountering connectivity issues
Bugfixes
vscode-1477 Disabling the highlight of inactive code or generate branches does not reset the background color
vscode-1481 & vscode-1156 Compile Waivers: Files specified in the build configuration should have higher precedence than the project level compile waivers file
vscode-1485 Hyperlinks to included files do not work in macro calls
DVT-16545 False errors reported after usages of macros containing unmatched `ifdef … `endif pairs
DVT-19072 Build auto-config: Timeout does not work in specific scenarios
DVT-19942 Code Formatting: Alignment issue of “By Name Port Connections” vertical align pattern for the last port connection
DVT-20157 PVerilog: Mapping a file using +dvt_pverilog_comment_map+ and compiling it multiple times should take the mapping into account each time
DVT-20205 Code Formatting: Wrong indentation of expression contained in a “with” clause
DVT-20206 Code Formatting: Wrong vertical alignment for class variables of a type with macro parameters
DVT-20211 Compile Waivers: Ignore duplicate usages of the same waivers file in the build configuration
DVT-20243 PVerilog: `ifdef without `endif in a preprocessing region causes improper parsing
DVT-20280 False syntax errors after incremental build in a file with a include found before module port list
DVT-20289 False syntax errors after incremental build in a file with a include found inside constraint body
DVT-20301 Wrong line and filename when reporting ARRAY_DIMENSION_OVERFLOW error during UVM elaboration
24.1.5 (13 March 2024)
Performance
vscode-1500 Speed-up computation of file decorators while loading a precompiled database
vscode-1505 High CPU usage persists for the Language Server process even in idle scenarios
DVT-20203 Improve compile time for modules with many generate blocks
REMOVED
vscode-1438 UVM Runtime Elaboration: Remove the prompt for switching to the Debug Activity when detecting active breakpoints in favor of “debug.openDebug” preference
Features
vscode-1269 Ability to collect project statistics
Enhancements
DVT-20184 Check unary operators “+” and “-” even when the width mismatch check is filtered
DVT-20237 Improved non-blocking incremental build after changing the definition of a macro
Bugfixes
vscode-1507 Debug information related to incremental compilation is not displayed in the “[dvt] Server” console
DVT-20043 No ILLEGAL_CUNIT_REFERENCE errors triggered for global scope classes in non-top files
DVT-20113 PVerilog: +dvt_pverilog_comment_map triggers console exceptions when a compiled file is empty or contains only comments
DVT-20117 False syntax errors reported at incremental build for a chain of included files without comma in module parameter list
DVT-20156 Code Formatting: Wrong indentation of comments preceding some closing keywords
DVT-20173 False VIRTUAL_CLASS_INSTANTIATION error for array variable whose type is an inherited class parameter with virtual class default value
DVT-20185 Code Formatting: “Add new line after end” does not work if the line that starts with “end” ends with a comment
DVT-20186 Code Formatting: Wrong indentation of labeled coverpoint bins
DVT-20201 Code Formatting: Do not indent the “@formatter:on” pragma
DVT-20202 Code Formatting: Vertical align pattern for `xvm_field macros does not work if the macro is followed by a semi-colon
DVT-20218 Do not trigger error for missing arguments of build config defined system functions
24.1.4 (28 February 2024)
Performance
vscode-1487 Speed-up opening source files
vscode-1488 Cancel does not work during build configuration analysis phase
DVT-20107 Speed-up full build in projects with many extern functions without an implementation
CLEANUP - DVT-20073 License: Replaced the license events database with a capped human readable log
Enhancements
vscode-1355 Speed-up incremental build for operations that modify multiple files
vscode-1473 Add timestamp for messages logged in the “[dvt] Trace Debug Adapter” console
vscode-1491 “Saving dictionary…” and “Dictionary saved.” messages are wrongly displayed in the console when restore mechanism is not activated
DVT-19976 Support for predefined `include “disciplines.h”
DVT-19987 PVerilog: New “Getting Started” predefined project based on jinja2
DVT-20022 Add non-standard support for .* port connection used with empty port
Bugfixes
vscode-1482 Saving a dirty file cancels the ongoing incremental build without triggering a new one
vscode-1492 Unable to show factory overrides from Verification Hierarchy View context menu
vscode-1493 “Open a DVT Predefined Project…” command on Windows does not list any project
vscode-1494 Prevent start-up errors caused by corrupted internal workspace database
DVT-13947 Errors not cleared for typedef usages from function parameters and variables declared in global scope when changing its name
DVT-18176 Build auto-config: In specific scenarios file paths get truncated
DVT-19952 Compile Waivers: No hyperlink for compile waivers files specified in the build configuration using relative paths
DVT-19961 False DUPLICATE_NAMED_BLOCK error for nested block inside anonymous sequential block
DVT-19975 Do not trigger ILLEGAL_ASSIGNMENT error for user-defined nettype assigned to a bit vector value in some cases
DVT-20001 Refactoring Connect: Signal declaration is incorrectly inserted when the enclosing module contains preprocessing directives
DVT-20011 The tooltip shown when hovering a class type alias incorrectly shows the class field as “values”
DVT-20046 & DVT-20152 PVerilog: False compilation errors are reported after deleting code containing a preprocessing section boundary
DVT-20072 Precompilation support: +dvt_prepend_init sections do not work properly if specified both in save and load configurations
DVT-20076 Encrypted code auto-API: Wrong ILLEGAL_ASSIGNMENT errors for default array iterator in predefined array methods
DVT-20081 Incorrect evaluation of type alias involving an access to an inner specialized class
DVT-20097 PVerilog: False compilation errors are reported when there is a single word preprocessing region on the last line of the file
DVT-20105 False UNDEFINED_OPERATOR errors for type aliases that resolve to multidimensional unpacked arrays with base types having sign specifiers
DVT-20106 Compile Waivers: Specifying compile waivers files using undefined environment variables breaks build configuration parsing
DVT-20127 PVerilog: Using Linux line separators in Windows breaks the preprocessed code section mapping
DVT-20129 Changes to class fields referenced in global scope methods are not handled incrementally
DVT-20132 UVM Runtime Elaboration: Inferred constructor with additional arguments other than the ones from super constructor registers the component under uvm_root
DVT-20137 Show Usages: Calls to $value$plusargs are not marked as writers of variables bound to its output argument
DVT-20147 Code Formatting: Apply “Indent and increase indentation level - except first” preference to all first-level open preprocessing directives
DVT-20151 Code Formatting: Non-default vertical align tokens are aligned within macro definitions
24.1.3 (13 February 2024)
Features
DVT-19886 Code Formatting: Add preference to skip indentation of multi-line macro definitions
Enhancements
vscode-1166 Add new dvt_code.sh argument to find version of Language Server
vscode-1403 Add dvt_build.sh script to extension
vscode-1446 Add hyperlinks to “[dvt] Build” and “Debug Console”
vscode-1456 Select Verification Top: Improved UI when displaying multiple tests with the same name
vscode-1470 Updated the GLIBC and GLIBCXX start-up check to include VS Code 1.86 requirements
DVT-19032 License: Show client information (pid, user, host, hostid) in the log header
DVT-19042 Trigger error for default values of ref/output/inout method arguments similarly to errors triggered for actual values
DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected
DVT-19817 Report an Issue: Ability to select/deselect all attachments
DVT-19904 Quartus auto-config: Add support for QSYS_FILE option
DVT-19937 Add non-standard support for assignment of empty_queue and unpacked assignment pattern to string type
DVT-19945 Code Formatting: Improve indentation for multi-line expressions
DVT-19963 Encrypted code auto-API: Inhibit the errors in accesses on auto defined elements
DVT-19981 Improve +dvt_init_from_simlog handling of quoted +define directives when working with xrun logs
DVT-20063 Trigger ILLEGAL_ASSIGNMENT error for incorrect expression connected to output and inout arguments
Bugfixes
vscode-1277 & vscode-1450 Cancel does not work during specific build phases
vscode-1392 Report an Issue: Should include DVT LS version
vscode-1455 Wrong tooltips for chain overrides
vscode-1457 PVerilog: After full build, g files are always set as readonly, regardless of the dedicated preference
vscode-1462 PVerilog: Specific features cannot be used for files with unusual SystemVerilog extensions
vscode-1463 Wrong file associations displayed in UI when using +dvt_ext_map directives
vscode-1467 Cancel does not work during restore
vscode-1472 UVM Sequence Tree is wrongly computed when triggered from a sequence usage
vscode-1478 Obsolete Language Server log files are not always deleted
vscode-1483 Launching multiple distro VSCodium instances with different DVT versions results in opening the same version as the first one
DVT-18685 Code Formatting: Wrong indentation of comments preceding a closing keyword
DVT-18729 Build config: Environment variables are not replaced for arguments of +dvt_db_location
DVT-19820 Code Formatting: Vertical alignment should not be performed within macro definitions
DVT-19857 Build config: -makelib directives are not handled properly inside +dvt_init_from_simlog
DVT-19862 Build config: Substitutions specified using +dvt_simlog_replace are erroneously performed multiple times
DVT-19931 Precompilation support: Do not allow refactor operations in precompiled files
DVT-19997 Hyperlink: Open Aliased Type for type parameters should place the cursor on the type definition
DVT-20007 Encrypted code auto-API: Infer field type from array assignment
DVT-20008 Encrypted code auto-API: Not working when auto defined field is assigned to itself
DVT-20009 Encrypted code auto-API: Not working inside classes used through aliases when the enclosing package also contains encrypted code
DVT-20040 Precompilation support: Semantic errors in global scope classes are missing from the preocompiled database
DVT-20047 Regular expressions printed to the build console by +dvt_init_from_simlog should be quoted
DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly
DVT-20055 License: In specific scenarios, license server response delays can cause UI slowness
24.1.2 (1 February 2024)
Enhancements
vscode-1291 Add description for problem matchers snippets
vscode-1322 Status Bar indicator displaying that Language Server is actively processing requests should mention the request ID in tooltip
vscode-1425 Wrong arguments displayed in UI when selecting a snapshot in the “Select Verification Top” webview
vscode-1431 “Select Design Hierarchy Top” command is not available when the Design Hierarchy View is not visible
vscode-1435 Code Formatting: Add preference for formatting lines threshold
vscode-1440 Add preference for enabling/disabling the “[dvt] Debug Trace Adapter” console
vscode-1448 Display a loading screen to indicate that Verification Hierarchy top candidates are being computed
Bugfixes
vscode-1303 Workspace Symbols: Sometimes filtering elements results in “No matching workspace symbols” although there are matching elements
vscode-1436 Sometimes endgenerate keywords are not highlighted properly
vscode-1452 Wrong message displayed in the Debug Console when the verification top was overridden using +UVM_TESTNAME
vscode-1454 Code actions requiring user input have no effect when selected with the mouse in VS Code versions >= 1.83
DVT-16884 False syntax errors reported at incremental build in files including fragments (ports, parameters)
DVT-19818 False UNDECLARED_IDENTIFIER error for access on a variable whose type implies a parameter hierarchical access
DVT-19899 Encrypted code auto-close: Not working in specific scenarios involving included files
DVT-19944 PVerilog: Sometimes +dvt_pverilog_comment_map is broken when the comment referring the p file is followed by other comments
DVT-19958 Encrypted code auto-API: Not working when auto defined element is used in push_back list method
DVT-19974 No matches reported in symbolic link files when searching for macro usages
DVT-19998 False NON_EXISTING_TYPE error for access on type alias whose value implies a parameter hierarchical access
24.1.1 (18 January 2024)
Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).
HIGHLIGHTS
Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.
Improved Language Server responsiveness
Improved Language Server connection stability and debuggability
Speed-up project bring-up time by Build Persistence
Speed-up parsing, incremental compilation, hyperlinking, searching for usages, quick fixing and auto-completing
Ability to speed-up full build by Compilation Speed-up a section of the project
Ability to speed-up full build by Precompilation Support a section of the project
Reduced memory footprint during elaboration
20 new semantic checks
Ability to perform and debug the UVM Runtime Elaboration:
New Config DB View
New Registers View
New Workspace Symbols queries focused on types and UVM-specific types
Improve design representation in UVM Component Diagrams to include interface instances
New Preprocessed Files Support providing advanced functionalities in preprocessing files
Improved Bit Field Diagrams look & feel
New Refactoring
Ability to visualize the build configuration file inclusion tree and +dvt_init invocations
Improved Encrypted VIP Support
Support for SystemVerilog AMS
New Memory Monitor
REMOVED
DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19
DVT-19907 Remove support for +dvt_disable_expr_eval directive
Features
vscode-1307 New Registers View
vscode-1308 New Config DB View
vscode-1309 New Factory Overrides View
vscode-1315 Ability to show a register in the Registers View
vscode-1316 Ability to show a factory override call in the Factory Overrides View
vscode-1317 Ability to show a uvm_config_db set/get call in Config DB View
vscode-1318 Ability to show associated getters/setter in Config DB View
vscode-1324 & DVT-18398 Ability to perform and debug UVM Runtime Elaboration
vscode-1369 Ability to show factory overrides from Verification Hierarchy View context menu
vscode-1442 Debugger: Ability to set tracepoints
vscode-1443 Ability to load an existing UVM runtime elaboration
Enhancements
vscode-1314 Add new editor annotation for create calls affected by factory overrides
vscode-1389 New verification top selection flow allowing UVM runtime elaboration
vscode-1428 PVerilog: Ability to navigate to the associated generated code for each embedded preprocessing section using CodeLens
vscode-1429 PVerilog: Ability to see and navigate to the associated generated code for each embedded preprocessing section using Go To / Peek Declaration
vscode-1444 Take into account factory overrides when constructing the Verification Hierarchy View and Breadcrumb
vscode-1445 UVM Component Diagrams: Improve design representation to include interface instances
DVT-17984 Support for setting a package as elaboration top
DVT-19029 Shallow Compile: Add exclusion support to the +dvt_shallow_compile directive
DVT-19393 Bitfield Diagrams: Ability to generate a diagram from a type parameter which solves to a packed struct
DVT-19394 Ability to navigate directly to the declaration of the type to which a type parameter is solved
DVT-19452 PVerilog: Added support for comment mapping in the advanced functionality in preprocessing files mode
DVT-19553 PVerilog: Ability to use +dvt_pverilog_run_on_save+ directive in the advanced functionality in preprocessing files mode
DVT-19684 Disable incremental compilation timeouts when non-blocking incremental build is enabled
DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected and non-blocking incremental build is enabled
DVT-19888 PVerilog: Dedicated background highlight for generated code regions
DVT-19889 PVerilog: Ability to navigate from the preprocessing file to the generated file using the editor context menu
DVT-19891 PVerilog: Ability to inhibit editing in files containing generated code
DVT-19909 Non-blocking incremental build is enabled by default
DVT-19915 PVerilog: Enable by default the advanced functionality in preprocessing files mode
DVT-19925 Do not trigger width mismatch warning in unelaborated code
Bugfixes
vscode-1422 Validation of DVT.license.source setting rejects new lines in multi-line string with the license contents
vscode-1424 “Select Verification Hierarchy Top” command is not available when the Verification Hierarchy View is not visible
DVT-19485 Precompilation Support: False “No source files were compiled” warning when no files are compiled in addition to the loaded database
DVT-19733 Design Breadcrumb: Sometimes navigation bar is not available in included fragment files
DVT-19833 Precompilation Support: Saving the database fails if any of its files is larger than 2GB
DVT-19836 Shallow Compilation: A file is entirely shallow compiled even if only function or module shallow compilation was requested
DVT-19911 Precompilation Support: False errors triggered on parameter assignments
DVT-19912 Precompilation Support: TLM port connections to uvm_tlm_analysis_fifo are not displayed in UVM Component Diagrams
23.2.30 (21 December 2023)
Bugfixes
vscode-1414 Files created using refactoring operations / quick fixes are not focused automatically
23.2.29 (13 December 2023)
Performance
DVT-19811 Speed-up incremental build when modifying enums, structs or unions
Features
vscode-808 Ability to save and restore the project compilation database
Enhancements
vscode-1387 Allow file edits in precompiled files if the active build configuration is used for saving
DVT-18887 Code Formatting: Ability to place each parameter on a new line only if the number of parameters exceeds a certain value
Bugfixes
vscode-1230 When connecting to a standalone Language Server show all pop-up notifications issued before connecting
vscode-1390 Incorrect environment variables expansion on Windows
DVT-18702 Build config: -L shared library search dir should only be interpreted in dvt.gcc and xcelium.xrun compatibility modes
DVT-19423 Code Formatting: When more parameters per line is set, code gets merged with susequent single line comment in specific scenarios
DVT-19424 Code Formatting: When more parameters per line is set, maximum line width is exceeded in specific scenarios
DVT-19774 Compile Order View: Preserve build configuration order for argument files and +dvt_init invocations
DVT-19777 Incorrect default nettype for -y compiled module
DVT-19794 PVerilog: Mapped g files included inside a generated code section cause improper parsing
DVT-19800 PVerilog: Semantic Highlight and Breadcrumb Navigation Bars are not properly updated when using +dvt_pverilog_compile_preproc without any file mapping performed
DVT-19802 Code Formatting: Wrong vertical alignment for arguments of parameterized type in method declarations
DVT-19803 Full build might fail in specific scenarios due to NullPointerException thrown while loading a precompiled database
23.2.28 (28 November 2023)
Performance
DVT-19731 Optimized incremental build for projects that encapsulate the testbench in programs/modules
Features
DVT-19779 Ability to Precompilation Support for faster bring-up
Enhancements
vscode-1365 Workspace Symbols: Add new query for displaying only types
vscode-1371 Ability to reference environment variables inside the DVT.environment.variables preference
Bugfixes
vscode-1356 Sometimes BadLocationException is thrown when document symbols are computed
vscode-1382 Design Hierarchy / Verification Hierarchy / Compile Order entry icons are not rendered on Windows
DVT-19669 False UNDECLARED_IDENTIFIER error for generate block variable defined inside included file
DVT-19720 Support for parsing non printable whitespace character 0xA0
DVT-19755 Quartus auto-config: qip files located outside project directory are not handled correctly
DVT-19756 False WIDTH_MISMATCH warning when operand is foreach loop variable of type parameter array
DVT-19759 The same function evaluation may have inconsistent results for instance parameters elaborated in parallel
DVT-19761 Quartus auto-config: Disable file sorting in the generated build configuration
23.2.27 (17 November 2023)
Bugfixes
vscode-1370 Language Servers View shows incorrect server status
23.2.26 (14 November 2023)
Performance
vscode-1328 Ability to cancel ongoing incremental builds when a new one is triggered
vscode-1330 Do not perform incremental compilation for unchanged touched files
DVT-19549 & DVT-19543 Show Usages: improve performance when searching for design elements defined inside library scope
DVT-19681 +dvt_skip_compile does not work for -y libdirs in specific scenarios
DVT-19685 Improve performance of incremental compilation when changing files with many `include statements
CLEANUP - vscode-1294 Rename “Rebuild” command to “Build”
Features
DVT-4730 New Compile Order view mode presenting the argument file inclusion tree and +dvt_init invocations
Enhancements
vscode-1332 Export DVT_CODE_SH and DVT_LS_SH environment variables in the integrated terminal
vscode-1354 Quartus auto-config: Ability to automatically configure compilation starting from an existing Intel Quartus project
vscode-1361 Improve UX for “Change Position of Method Argument” refactoring
DVT-8420 Compile Waivers: Ability to select the waiver file when creating a new waiver from a problem
DVT-18305 & DVT-11641 Ability to specify compilation waiver files in the build configuration
DVT-19411 Check width mismatch for array reduction method call when with clause is specified
DVT-19433 PVerilog: Added support for extension mapping in the advanced functionality in preprocessing files mode
DVT-19467 PVerilog: Added support for pattern tag mapping in the advanced functionality in preprocessing files mode
DVT-19601 PVerilog: Report mappings in Build Console
DVT-19625 Quartus auto-config: Automatically locate the Quartus .qip files required for compilation of binary .ip files
DVT-19641 Quartus auto-config: Improve debugging capabilities
DVT-19713 Improve adaptive incremental elaboration when many designs are changed
Bugfixes
vscode-1290 Language Server is not starting when project path points to a drive root
vscode-1323 Environment variables used in DVT.languageServer.launchCommand are expanded before running the command
vscode-1325 “Detected a non-DVT Language Mode association for the current file.” warning is sometimes wrongly triggered
vscode-1336 Extension does not activate on OpenVSCode Server
vscode-1338 Sometimes images from Design Hierarchy / Verification Hierarchy / Compile Order are not rendered
vscode-1360 Selection is not taken into account in “Change Position of Method Argument” quick pick
DVT-16548 Comments are not collected for covergroups with arguments
DVT-19293 Search for references: Potential matches in inactive code are not reported when file contains `include statements
DVT-19448 Encrypted code auto-API: Does not work in scopes which are auto-closed
DVT-19451 Encrypted code auto-API: Wrongly infers queue instead of associative array
DVT-19624 Quartus auto-config: Quartus Prime Pro 23.2 .qip format not recognized
DVT-19643 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT error when auto defining function return type
DVT-19644 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT when auto defining function parameters whose class types have a common base class
DVT-19645 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT error when auto defining fields used in function calls
DVT-19646 Encrypted code auto-API: False ILLEGAL_NON_STATIC_ACCESS error when auto defining non static fields/methods used in static accesses
DVT-19647 Encrypted code auto-API: false UNDEFINED_OPERATOR error when auto defining queues instead of array
DVT-19682 False ILLEGAL_VARIABLE_ASSIGNMENTS error at incremental inside module compiled multiple times that contains anonymous always construct
23.2.25 (1 November 2023)
Performance
vscode-1292 Skip already scheduled incremental builds after saving files when a full build operation is triggered
CLEANUP - vscode-1232 Increased VS Code minimum required version to 1.81.1
Features
vscode-1281 New change method signature refactoring
Enhancements
vscode-1233 Automatically generate a thread dump when request processing takes longer than a threshold
vscode-1305 Ability to ignore specific conflicting extensions during startup check
vscode-1331 Updated VSCodium to 1.81.1 in DVT distros
Bugfixes
vscode-1270 Refactoring operations affecting multiple files display a floating notification for each modified file
vscode-1334 Extension does not activate on code-server
DVT-19596 PVerilog: Sometimes multiple changes in preprocessing files can’t be handled incrementally
DVT-19609 PVerilog: Trigger error when specifying arguments for +dvt_pverilog_compile_preproc+ directive
23.2.24 (17 October 2023)
Performance
DVT-19545 Speed-up incremental build in files containing many macro calls
Features
vscode-770 New Preprocessed Files Support providing advanced functionalities in preprocessing files
Enhancements
vscode-1282 Ability to restart the Language Server
Bugfixes
vscode-1274 Deactivate check for VS Code started using VSCode/code instead of VSCode/bin/code on Windows
DVT-17598 False WIDTH_MISMATCH warning for $sampled and $past function call operands
DVT-19416 Code Formatting: Wrong indentation of label when associated “begin” is moved on the same line
DVT-19530 False syntax error for variable type port declaration with unpacked dimension and implicit data type
DVT-19551 Missing STATIC_INITIALIZATION warning for function variable declared inside an included file in some cases
DVT-19577 False UNDECLARED_IDENTIFIER error for mixed-language hierarchical access of an instance due to VHDL configuration being ignored
DVT-19582 Prevent memory leaks when closing diagrams
DVT-19587 Diagram timeout message might not be shown in certain conditions
23.2.23 (9 October 2023)
HIGHLIGHTS
Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19
CLEANUP - DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19
Enhancements
vscode-1255 Extend background highlight to the entire line for inactive code or inactive generate branches
DVT-18888 & DVT-18683 Add non-standard support for non-constant concatenation multiplier in some contexts
DVT-19399 Build config: Add support for +dvt_directory_substitute
DVT-19508 Build config: Add support for hash character escaping in macro definitions
Bugfixes
vscode-1219 Compile Order View: View label should take into account the search box input after rebuild
vscode-1279 Fix scrolling issue for WaveDrom Diagrams
DVT-9322 False syntax errors reported at incremental build in an included file with just the contents of a covergroup or function
DVT-18820 UVM Runtime Elaboration: DPI-C import function should trigger error when returning struct data type
DVT-19449 Encrypted code auto-API: Not working in if clauses with !condition
DVT-19453 Encrypted code auto-API: Not working for method whose return type is assigned to two-dimension variable
DVT-19454 Encrypted code auto-API: Not working for constructors when there is already a constructor defined by user
DVT-19525 Module declaration error recovery not working in some cases
23.1.22 (22 September 2023)
Enhancements
vscode-699 Refine icons used in Outline View and Content Assist proposals
vscode-1223 Ability to specify the Language Server ports when using dvt_ls script
vscode-1228 Rename Refactoring: Add preference to set default behaviour when encountering conflictual cases
vscode-1246 Bit Field Diagrams: Fix table layout for large diagrams
vscode-1250 Show notification when opening a DVT supported file with a language provided by a different extension
DVT-19255 Build config: Add support for -amscompilefile library specification
DVT-19256 Add support for `worklib/`noworklib pragmas for inline library specification
DVT-19257 Add support for non-standard syntax ‘:amsconfig’ in config use clause
DVT-19267 Encrypted code auto-API: Ability to auto define elements through package imports
DVT-19366 Trigger error for incorrect struct scope accessed statically
Bugfixes
vscode-963 JavaDoc tags {@code} and are rendered differently in tooltips
vscode-1227 Notify immediately when a Language Server fails to start, instead of waiting until DVT.languageServer.startTimeout expires
vscode-1247 Verification Hierarchy top candidates with long paths have their display name truncated in the select top list
DVT-16988 Promote METHOD_CALL_ARGUMENTS warning to error for input/inout/ref arguments
DVT-19268 Encrypted code auto-API: API defined inside a package is not available through imports
DVT-19291 False WIDTH_MISMATCH warning for sum array method with cast iterator
DVT-19310 False OVERRIDE_VIRTUAL_METHOD error for method implemented in parent class
DVT-19344 Trigger ILLEGAL_ASSIGNMENT error for non-equivalent 2-state vs. 4-state unpacked array type assigned to ref argument
DVT-19355 Trigger error for invalid predefined array function call on bitvector variable
DVT-19361 False ILLEGAL_ASSIGNMENT error for ref argument connected to output argument
DVT-19367 SIGNAL_NEVER_USED warning disappears at incremental compilation in program
DVT-19392 False ILLEGAL_HIERARCHICAL_ACCESS error for enum literal under generate block
DVT-19405 Encrypted code auto-API: Not working for elements used in if clauses
DVT-19406 Encrypted code auto-API: Wrong return type for auto defined functions in coverpoint iff clauses
DVT-19409 False syntax error for HDL property declaration in PSL vunit
DVT-19412 Rename Refactoring: When renaming a virtual function of a parametrized class, the parent class functions are not renamed
DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing
23.1.21 (12 September 2023)
Bugfixes
vscode-1249 Extension does not activate on VS Code 1.82 with Remote-SSH
23.1.20 (6 September 2023)
Features
DVT-12661 Code Formatting: Add preference to compact consecutive empty lines
Enhancements
vscode-1220 Improve UX for switching the active build configuration when the client is connected to a standalone Language Server
vscode-1236 Content Assist: Add preference to disable type compatible argument for function call proposals
DVT-14709 Bit Field Diagrams: Ability to split large UVM registers diagrams on multiple lanes
DVT-19294 Bit Field Diagrams: Trim unused bit fields for UVM registers
Bugfixes
vscode-1237 Bit Field Diagrams: UVM register size changes are not taken into account when regenerating the diagram
DVT-15215 Do not allow both local and protected before method declaration or class property
DVT-18069 Default case generate item is incorrectly elaborated at incremental in some cases
DVT-19263 Wrong MISSING_TYPE_IMPLEMENTATION: type is not implemented error thrown in some scenarios when +dvt_encrypted_code_auto_api is specified
DVT-19269 METHOD_CALL_ARGUMENTS error on function imported through package is not cleared on incremental when adding a parameter in function definition
23.1.19 (25 August 2023)
Features
vscode-320 Intelligent code coloring for conditional parameterized generate
vscode-394 & vscode-1224 Workspace Symbols: Add support for UVM-specific queries
vscode-1206 Add database out of sync notification
DVT-15678 Ability to show including file for filelists
Enhancements
vscode-1210 Reorder Status Bar items
vscode-1218 Show Including File: Improve user experience when the file is included multiple times
vscode-1225 Show Including File: Improve user experience when the file is included in files compiled out of scope
DVT-7982 New semantic check for non-virtual implementations of interface class methods
DVT-14615 New semantic check that target of ‘disable soft’ is a variable
DVT-19218 Console View: Report encrypted code auto-API summary instead of the entire report
Bugfixes
vscode-1208 Unable to interact with UML diagrams after invoking an action from the context menu that does not modify the diagram
vscode-1214 Design Breadcrumb: Wrong selection in editor when navigating to a generate block
vscode-1216 Design Breadcrumb: Wrong updates when triggering ‘Open Design Breadcrumb Instance’
vscode-1221 Using ‘dvt_code createProject .’ should create a project with the name of the folder instead of ‘,’
DVT-10968 False UNDECLARED_IDENTIFIER semantic error for enum value defined inside a struct
DVT-13647 Declare Quick Fix: Append scope for element type if not visible in scope
DVT-16002 Code Formatting: Whitespaces are not added around tokens followed by the “#” symbol
DVT-16478 Code Formatting: Wrong vertical alignment for multi-line assignments
DVT-18547 Code Formatting: Formatting issue of “case default” when colon is a vertical align token
DVT-18801 Bit Field Diagrams: Ability to extract the table in a text format
DVT-19182 Trigger UNDEFINED_OPERATOR error for invalid equality containing a variable of event type
DVT-19214 False SIGNAL_NOT_RESET warning for hierarchical signal set multiple times
DVT-19222 Invalid ILLEGAL_ASSIGNMENT error for packed array ref argument when actual part has an alias type
DVT-19223 Invalid ILLEGAL_CAST_OPERATION error for cast to covercross predefined type CrossQueueType
DVT-19239 No warning triggered for duplicate enum literal declared inside struct anonymous enum
DVT-19241 Build configuration analysis is broken by the use of empty-valued environment variables
DVT-19243 Trigger error for event expression passed to function call argument
DVT-19251 ILLEGAL_ASSIGNMENT error thrown when auto defining class fields using +dvt_encrypted_code_auto_api
DVT-19274 Bit Field Diagrams: Wrong union members representation when using typedefs
23.1.18 (2 August 2023)
Features
vscode-574 Add extension walkthrough
Enhancements
DVT-14777 Add .vh extensions to compilable files in ius.irun/xcelium.xrun compatibility mode
DVT-16506 Specify preferences.ini and settings.json keys in Code Formatting documentation
DVT-18963 Add shallow compilation modes in content assist proposals for +dvt_shallow_compile directive
Bugfixes
vscode-1211 Wrong versions of VS Code requirements checked at startup
vscode-1212 Sometimes the Language Server disconnects from the client during an active session
vscode-1213 Verification Breadcrumb: Wrong updates when using ‘Open Create Call / Field Declaration’ commands in UVM Components Diagrams
DVT-18080 Code Formatting: Wrong vertical alignment of class variable declarations with multiple parameters
DVT-18431 Code Formatting: Analysis ports declarations are not vertically aligned
DVT-18461 Code Formatting: Vertical alignment doesn’t work if variable’s type contains the class scope resolution operator “::”
DVT-18832 Auto define of encrypted constructor using +dvt_encrypted_code_auto_api is not working when its enclosing class extends other class
DVT-19106 Add non-standard support for enclosing parentheses around tagged union pattern
DVT-19131 Build config: Multiple +dvt_skip_compile+not directives result in no files compiled
DVT-19146 Build config: -ml_uvm flag in xcelium.xrun mode should be highlighted and suggested in the auto complete list
DVT-19155 Build config: Directives starting with -uvm prefix are wrongly interpreted as -uvm
DVT-19187 Incorrect resolution of static access to local package disregarding the -pkgsearch directive
DVT-19192 Code Formatting: Wrong vertical alignment for multiple class variable declarations on the same line
23.1.17 (19 July 2023)
Bugfixes
vscode-1209 Extension is not activated on some Linux distros due to false failures in the prerequisites checks
23.1.16 (18 July 2023)
Enhancements
vscode-1162 Add preference to automatically save editors on rebuild
vscode-1167 Add an indication that there are other SystemVerilog / VHDL extensions installed
vscode-1200 Show a startup warning when GLIBC and GLIBCXX requirements are not met
DVT-19036 Build config: Ability to provide the location of xrun instead of automatically detecting it
Bugfixes
vscode-1198 The ports panel is sometimes wrongly updated when scrolling in the Instances panel of Design or Verification Hierarchy Views
23.1.15 (7 July 2023)
Performance
DVT-19098 Improve performance of file compilation when using +dvt_encrypted_code_auto_close
REMOVED
DVT-14654 Remove +dvt_enable_non_top_instances_check directive
Features
vscode-1032 Show indicator in status bar that Language Server is actively processing requests
Enhancements
vscode-880 Ability to set license as part of a startup script instead of using the DVT.license.source preference
vscode-888 Validate that DVT.license.source preference does not contain leading or trailing whitespaces
DVT-18995 Bit Field Diagrams: Add support for widths defined using parameters in elaborated modules
DVT-19085 Bit Field Diagrams: Ability to see the full name of a field in the table on hover
Bugfixes
vscode-846 & vscode-1139 Improve user experience when encountering network disconnect scenarios
vscode-1191 Verification Hierarchy: View becomes empty when quickly collapsing/expanding tree elements
vscode-1199 Wrong syntax highlighting of “class” and “endclass” keywords when a virtual class is defined inside a module or a package
vscode-1201 Diagnostics provided by DVT Problem Matchers disappear from the Problems View after navigating to their source code
vscode-1202 ‘Request License’ and ‘Schedule Demo’ buttons are not properly rendered in the extension’s details page
vscode-1203 False startup warning that VS Code was not started correctly reported when using Remote-SSH
DVT-19107 False ILLEGAL_ASSIGNMENT error for port connection with bit vector operands are defined with parameter values
23.1.14 (22 June 2023)
Features
vscode-798 Renaming a file-level scope element (module, interface, class, package) should rename the file if the names match
vscode-822 Refactoring rename a file should change all its `include and build config references
vscode-883 Add support for ${command:dvt.getPathToSignalUnderCursor} input variable in VS Code tasks
vscode-1094 Add support for ${command:dvt.getActiveBuildConfigName} and ${command:dvt.getActiveBuildConfigFullPath} input variables in VS Code tasks
vscode-1136 Memory Monitor: Memory starvation handling mechanism
vscode-1148 Ability to select the entire block when cursor is placed on opening/closing keywords
vscode-1184 Show a startup warning when VS Code was started using VSCode/code instead of VSCode/bin/code
DVT-18696 Build config: Add support for questa.qrun compatibility mode
Enhancements
vscode-490 Ability to request a trial license from the error pop-up when license can’t be checked-out
vscode-708 Ability to request a trial license or demo session from the extension’s details page
vscode-1159 Ability to trace messages on Language Server side
vscode-1194 Heap usage indicator from Status Bar should display the value as integer
DVT-16698 Check for illegal ref/output/inout default value of module port
DVT-17723 Differentiate UNDEFINED_OPERATOR / ILLEGAL_ASSIGNMENT error message when only signing differs
DVT-18126 Trigger semantic error for incompatible unpacked array because of different element types
Bugfixes
vscode-1097 Creating non-existing files using Quick Fix does not update compilation errors
vscode-1103 Files created using refactoring operations / quick fixes are not focused automatically
vscode-1109 Overwriting an already opened DVT predefined project should reset the state of the opened files
vscode-1174 Applying Code Actions has no effect if the target file was not opened previously
vscode-1175 Compile Order / Design Hierarchy / Verification Hierarchy views are not fully populated after overwriting an already opened DVT predefined project
vscode-1192 Switching the top in Design Hierarchy / Verification Hierarchy view results in empty view if there is an active filter by port name
DVT-19037 False ILLEGAL_NET_ASSIGNMENT error for interface variable accessed through modport
DVT-19049 Shallow Compilation: StackOverflowError thrown when too many wires are declared in a single instruction
DVT-19059 False UNDECLARED_IDENTIFIER error for array initialization when the +dvt_encrypted_code_auto_api is set
DVT-19060 False UNDECLARED_IDENTIFIER error for interface variable “self”
DVT-19080 False ILLEGAL_NET_ASSIGNMENT error for clocking block variable
23.1.13 (7 June 2023)
CLEANUP - vscode-1185 Change the id and title of the “DVT: Verissimo Linter…” command to “Verissimo: Lint…”
Enhancements
vscode-478 Ability to change references in JavaDoc-like annotations while performing a rename refactoring
vscode-1137 Report an Issue: Improve error messages when encountering network issues
vscode-1149 Diagnostics View: Ability to enable/disable auto-refresh
vscode-1150 Improve user experience for rename operations that require user confirmation
DVT-19018 Trigger semantic error for illegal ref argument in static method
DVT-19025 Build config: Add support for -mcl flag in xcelium.xrun compatibility mode
Bugfixes
vscode-1173 Design Hierarchy View: Toggling “Show/Hide generates without instances” results in incomplete list of elements
DVT-18895 False OUTPUT_PORT_READ warning for Verilog_AMS discipline access functions
DVT-19009 Hyperlinks to identifiers inside macro calls do not work properly in specific scenarios
23.1.12 (23 May 2023)
Performance
DVT-18961 Content Assist: Improve computation speed for `include proposals in slow file systems
Enhancements
vscode-1163 Ability to display the Language Server’s heap usage in the Status Bar
DVT-9674 Trigger semantic error for illegal ref argument in function call
Bugfixes
vscode-1160 Disable searching through info messages displayed inside the Compile Order View
vscode-1161 Disable context menu actions on info messages displayed in Design Hierarchy / Verification Hierarchy / Compile Order
vscode-1165 Sometimes the restart Language Server notification is not triggered after editing the [build].ls file
vscode-1171 Existing filter is not taken into account when selecting the Design Hierarchy / Verification Hierarchy top
vscode-1176 Loading indicators are not displayed during rebuild in Compile Order / Design Hierarchy / Verification Hierarchy views
vscode-1177 Unable to start the Language Server if [name].ls file contains leading or trailing whitespace for heap_size and stack_size values
DVT-17069 False ILLEGAL_ASSIGNMENT of arrays of real signals in port connections
DVT-17454 Incorrect string value override when using +dvt_defparam build config directive
DVT-18412 Trigger semantic error when writing to a parameter
DVT-18881 Improve Show Connected TLM Ports accuracy
DVT-18962 Streaming operators do not evaluate in unpacked array context
DVT-18993 Compilation stops when an `included module is encountered in a -v library file
DVT-19008 Exception thrown when analyzing a class that contains forward definition for inner class
23.1.11 (9 May 2023)
Enhancements
vscode-1133 Ability to prepend package name in Type Hierarchy
DVT-18907 Do not trigger PORT_CONNECTION Input connected to output error in unelaborated code
DVT-18934 Support for +dvt_elaboration_loop_block_cutoff when elaborating array of instances
DVT-18955 Evaluate array of instances range dimension LHS and RHS only as signed expressions
Bugfixes
vscode-1140 UVM Components Diagram: Sometimes ‘Show Connections’ generates incomplete diagram
vscode-1151 UVM Components Diagram: Sometimes an internal error is thrown when refreshing the diagram after a full build
vscode-1152 UVM Components Diagram: Sometimes diagrams get stuck in “Generating Diagram…” when toggling content filters
vscode-1153 Updating diagnostics and incremental compilation don’t work when reconnecting to the same standalone Language Server
vscode-1155 DVT.tooltips.parameterValuesRadix preference is not taken into account
vscode-1157 Language Server is wrongly started when closing notification suggesting to reactivate DVT for a previously deactivated workspace
vscode-1158 “Building…” notification does not dissapear when deactivating DVT support during an in progress build
DVT-17926 Correctly compute hyperlinks for method calls used as macro call arguments
DVT-18277 False UNDECLARED_IDENTIFIER error for member of inherited field with parameter alias type
DVT-18920 False ILLEGAL_VARIABLE_ASSIGNMENTS error for different array selects in concatenation
DVT-18935 False ‘No matching `endif’ syntax error when files contains non-printable characters
23.1.10 (25 April 2023)
Performance
vscode-1125 Improve overall Language Server response time when updating many diagnostics
DVT-18854 Improve build performance for projects with many `ifdef guards
Enhancements
vscode-1089 Ability to copy design hierarchy path of signal under cursor
Bugfixes
vscode-1117 Semantic highlight is not updated automatically after an incremental build
vscode-1147 dvt_ls.sh does not print “Server started” when -noexit is provided
DVT-18896 False syntax error for `endif found in string literal
23.1.9 (12 April 2023)
Enhancements
vscode-1065 Add syntactic highlight support for PSL
DVT-18849 Ability to evaluate casex/casez statements during elaboration
DVT-18873 Improve error recovery for particular preprocessing contexts
Bugfixes
vscode-1006 Unable to connect to remote Language Server if the corresponding project folder is already opened
vscode-1077 Sometimes syntactic highlight of DPI functions is wrong
vscode-1128 Client does not connect to the Language Server due to short timeout
vscode-1131 Wrong language mode for files with .svams extension
vscode-1132 Sometimes block comments are not highlighted
vscode-1141 Default value of ‘DVT.languageServer.startTimeout’ is not set in some VS Code versions
DVT-17975 ASSIGNMENT_BLOCKING warnings not always flagged in clocked always blocks
DVT-18594 UVM Components Diagram: Show Sources / Destinations should follow data direction in TLM ports
DVT-18759 Code Formatting: Wrong code indentation when a parameters list contains an `include directive
DVT-18819 Case item expression not evaluated with respect to the signing of case items
DVT-18850 Incorrect evaluation of case expression with increment/decrement operator
DVT-18853 False SELECT_NOT_ALLOWED error for field of specialized class scope type
DVT-18860 False NON_EXISTING_PACKAGE error for local package when current library is not specified in the -liblist directive
DVT-18865 Incorrect signing for non-ANSI port with separate net declaration
DVT-18867 Incorrect size evaluation for self-determined ‘**’ operator
23.1.8 (29 March 2023)
Performance
DVT-13635 Ability to do a “shallow” compilation for specific files / invocations in order to speed up full build time
DVT-18816 Speed up incremental build when changing typedef struct/unresolved extern function implementation inside modules or programs
DVT-18826 Speed up incremental build when changing functions inside modules or programs
Features
DVT-18521 Add support for SystemVerilog AMS
Enhancements
DVT-15582 Enhance the +dvt_auto_snps_vip_macros build config directive
DVT-18784 Extend ILLEGAL_NET_DATATYPE 2-state datatype check to port declarations
Bugfixes
vscode-1078 Using “/*” wildcards in build configuration files results in wrong highlighting
vscode-1124 Design Hierarchy View: Resizing the instances panel to maximum height results in incomplete list of elements
vscode-1126 Sometimes the diagrams freeze when receiving scroll wheel click events
vscode-1129 Report an Issue: Unable to save issue report on Windows
vscode-1130 Report an Issue: Unable to expand or uncheck directories on Windows
DVT-18278 Automatically waive MISSING_FUNCTION_IMPLEMENTATION errors when their implementations are encrypted
DVT-18705 Incorrect declaration hyperlink for field type shadowed by local typedef
DVT-18743 Compile Order View: Inconsistent compile index between Compile Order and Console when having skipped files
DVT-18815 & DVT-18806 Incorrect resolution of wildcard import to local package indifferent to the -pkgsearch directive
23.1.7 (15 March 2023)
Performance
DVT-18779 Speed up incremental build when changing content of classes nested inside modules or programs
Enhancements
vscode-1116 Improved Report an Issue UI
DVT-18514 Auto define constraints using +dvt_encrypted_code_auto_api
DVT-18578 Trigger semantic error for variable connected to inout port
DVT-18713 Throw error for non-net variable inout port
DVT-18747 Do not trigger SIGNAL_MULTIPLE_DRIVERS warning for variables
DVT-18748 Trigger error for variable written by mixture of continuous and procedural assignments
DVT-18749 Trigger error for variable written by more than one continuous assignment
Bugfixes
vscode-1046 FSM Diagrams: Diagram’s context menu does not disappear when selecting transition table element
vscode-1058 Report an Issue: Unable to inspect the directories content when using Remote SSH
vscode-1111 Diagrams: Resetting the name when creating a new filter should disable the OK button
vscode-1114 UVM Components Diagram: Sometimes diagrams get stuck in “Generating Diagram…” when toggling content filters
vscode-1119 Sometimes two “Building…” progress notifications are displayed during a full build operation
vscode-1121 DVT.languageServer.selection preference is not taken into account when set to “Connect to an available Language Server”
vscode-1122 Verification Breadcrumb specific commands are not displayed in the Command Palette
vscode-1123 Rebuilding project after deleting waivers file does not update problem to its original severity
DVT-18053 +dvt_encrypted_code_auto_api does not auto-define class constructors
DVT-18492 False MULTI_BIT_EDGE_CONTROL warning for signal bit select
DVT-18617 & vscode-1074 Open Declaration on parameterized module where the instance name is the same as module definition doesn’t work
DVT-18703 Schematic diagrams: Connections made via arrays of interface instances are not shown
DVT-18740 Compile Order View: Wrong number of unique files computed when using -y / -v libraries
DVT-18742 Compile Order View: Duplicate library files are skipped
23.1.6 (1 March 2023)
Enhancements
DVT-18611 Trigger width/signing mismatch warnings for case expressions
Bugfixes
vscode-1000 When using dvt_code.sh with no arguments, don’t close the main window when Getting Started editor is closed
vscode-1073 Heap size is not taken into account when using dvt_cli.sh -vscode -heap_size
vscode-1093 Using dvt_code.sh with -build and -<name>.build arguments should create the build configuration file
vscode-1107 Design Diagrams: Wrong diagram generated when navigating through the breadcrumb after switching between diagram types via context menu action
vscode-1108 UVM Components Diagram: Sometimes changing diagram’s depth has no effect
vscode-1113 Diagrams: Navigating through the available filters resets the content of the custom filters
vscode-1115 Code Formatting: “Add New Line After Begin And Before End” indentation preference does not work
DVT-18669 Improve performance of incremental compilation when changing fields of classes used inside large programs
DVT-18670 Improve performance of incremental compilation when changing function result implicit variable located inside large programs
DVT-18677 StackOverflowError thrown during build for circular typedef in some cases
DVT-18682 False NON_EXISTING_TYPE error for local:: qualifier in assertion expression randomize call
DVT-18684 Code Formatting: In specific scenarios the “Add New Line After End” preference does not work
23.1.5 (21 February 2023)
Performance
DVT-18635 Bit Field Diagrams: Improved caching mechanism in Diagrams View
Enhancements
vscode-1083 Easily accessible build configuration quick reference
DVT-9519 & DVT-6092 Build Config: Add support for -ml_uvm flag in xcelium.xrun mode
DVT-18324 Bit Field Diagrams: Ability to split diagrams on multiple lanes
DVT-18442 Jump To Connected TLM Ports: Ability to choose the next connection
DVT-18606 Build Config: Add support for -uvmexthome flag in xcelium.xrun mode
DVT-18613 Bit Field Diagrams: Show hierarchical field names in the table
DVT-18646 Handle typedef unpacked dimension change incrementally
Bugfixes
vscode-1070 Verification Hierarchy / Design Hierarchy is sometimes partially populated
vscode-1101 Compile Order View: Collapsing and expanding the view multiple times results in wrong list of compiled files
vscode-1104 Expanding Compile Order / Design Hierarchy / Verification Hierarchy after full build results in an empty view
vscode-1106 Verification Hierarchy View becomes unresponsive if Explorer View was focused during the incremental build
DVT-18117 Add support for escaped ticks (') in +define or show the error and correctly recover
DVT-18299 Compile Order View: View label is not updated when clearing the search box
DVT-18599 Bit Field Diagrams: Fix hyperlink location for packed structs
DVT-18622 Open Super Implementation should place the cursor on the method / constraint name
DVT-18637 WAVEDROM_FILE pragma does not work with full paths
DVT-18651 Module instantiated using bind wrongly marked as unelaborated at incremental
23.1.4 (8 February 2023)
Performance
DVT-18587 Improve performance of incremental compilation when changing class name defined using forward typedef inside large programs
DVT-18595 Improve performance of incremental compilation in very large designs when changing class definitions
DEPRECATED - DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions
Features
DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)
Bugfixes
DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug
DVT-18576 False WIDTH MISMATCH warning when the same interface signal is evaluated multiple times
DVT-18589 Trigger width mismatch warning for left-hand side bit vector operand that references local instance parameter
DVT-18601 Extract to local variable from an assert condition generates a syntactic error
DVT-18602 Wrong “Open Declaration” hyperlink for extern constraints in specific scenarios
DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license
23.1.3 (31 January 2023)
Bugfixes
DVT-11725 Quick Fix: Wrong type computed from type parameters
DVT-15848 Declare Function Quick Fix: Incorrect number of arguments when the undeclared function is used in a macro call
DVT-17826 Queue arguments should be passed by reference when extracting to function
DVT-18575 “Open Implementation” hyperlink missing after incremental build when the implementation is in a different file
23.1.2 (25 January 2023)
Performance
DVT-18485 Improve performance of incremental compilation when changing class function argument defined inside big programs
Bugfixes
DVT-18415 False NON_EXISTING_TYPE error when using the type operator to refer to the data type of an expression
23.1.1 (19 January 2023)
HIGHLIGHTS
Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.
Improved performance of parsing, incremental compilation, design elaboration, semantic checking, hyperlinking, Workspace Symbols, Quick Fix Proposals, Code Factory and build time of projects containing encrypted code
Improved design elaboration tuning for scalability, including Debugging
Improved memory consumption of design elaboration
Improved profiling capabilities
22 New semantic checks
Ability to Override Using Autocomplete
Ability to navigate Hyperlinks
Improved PSL support
Improved parameter evaluation during design elaboration
Add support for one-hot encoded FSM diagram generation
Added External Tools Integration for major simulators
Improved Environment Variables handling over Remote SSH
Improved Scripts
Performance
DVT-18443 Content Assist: Slow proposal computation in deep design hierarchical access
DVT-18467 Improve performance of incremental compilation when changing typedef enum/struct items defined inside programs
DVT-18519 Reduce memory footprint during elaboration
DVT-18520 & vscode-1043 Quick Fix: Improve “Did you mean” performance
REMOVED
DVT-18516 Removed +dvt_enable_elaboration directive
Features
vscode-1092 Add dvt_code -files_exclude argument to exclude files from VS Code / VSCodium Explorer View
DVT-5777 & DVT-13043 New semantic error when calling time consuming actions from functions
Enhancements
vscode-1044 Autoinsert a ‘*’ on Enter in a block comment
vscode-1081 Ability to add or skip files from compilation using Explorer context menu
DVT-18487 Reduce memory footprint of elaborated design with many generates blocks
DVT-18518 New Init from SimLog timeout mechanism
DVT-18531 Improve Init from SimLog cancel mechanism
Bugfixes
vscode-1084 Type Hierarchy is empty when it is triggered from the usage of an element
vscode-1087 Started server id is not printed when dvt_ls.sh -noexit is used
DVT-18158 Update prototype to match extern implementation overwrites parameters default value
DVT-18460 False UNELABORATED_ENTITY warning after incremental adaptive elaboration of component instance in some cases
DVT-18490 Quick fix: In certain scenarios, add to sensitivity list inserts the signal in the wrong place
DVT-18497 Broken parameter declarations after extract to module refactoring
DVT-18517 Init from SimLog: Extracted compilation arguments are truncated for lengthy inputs
DVT-18530 Content Assist: No proposals for UVM field registration if the macro for a field could not be determined
22.1.39 (20 December 2022)
Performance
DVT-18446 Improve performance of incremental compilation when the included files contain many localparams
Bugfixes
vscode-836 Diagnostics View: Missing server information on Windows
vscode-1062 Wrong “Building…” notification when rebuild is triggered without license
vscode-1088 Compile Waivers are not applied automatically when VS Code is unable to watch for file changes in large workspace folders
vscode-1090 $ENV_NAME system variables are not resolved correctly when used in DVT.languageServer.launchCommand preference
Enhancements
vscode-1067 Improve user experience when working with invalid compile waivers file
22.1.38 (14 December 2022)
Performance
DVT-18424 Improve performance of incremental compilation for classes defined inside programs
Bugfixes
vscode-1079 License server still tries to check-out Verissimo license after canceling the session when there is no Verissimo license
vscode-1085 Invoking “Override Methods” and “Generate Setters & Getters” from Content Assist has no effect
DVT-18228 False NOF_PORT_CONNECTIONS error when instantiating module with unconnectable ports
DVT-18341 Incorrect struct assignment pattern proposal when struct contains enumerated type
Enhancements
DVT-18354 Cannot calculate parameter value when assigned to struct assignment pattern with ‘default’ clause
22.1.37 (6 December 2022)
Bugfixes
vscode-1020 Different errors occur when performing actions in newly created files
vscode-1072 Natures detection progress notification is displayed at the same time with the ‘Select languages’ quick-pick
DVT-18389 No references to overridden methods reported when the inheritance is done using type parameters
Enhancements
vscode-1066 Ability to relocate Language Server’s logs and registry directories
DVT-17442 & DVT-17964 Improve +dvt_init_from_simlog handling of quoted defines when working with xrun logs
DVT-18167 Design Hierarchy View: Hide generate blocks that don’t have any leaf instances
DVT-18362 Trigger semantic error for genvar used in procedural for statement
DVT-18407 Trigger semantic error for non-class variable used as argument to class randomize call
22.1.36 (22 November 2022)
CLEANUP - vscode-829 Increased VS Code minimum required version to 1.67.2 due to update to LSP 3.17
Bugfixes
vscode-986 Avoid serializing invalid argument values in the [build].ls file
vscode-1022 Verification Hierarchy View: Sometimes the view is not fully populated
vscode-1055 FSM Diagrams: Applying any preference in a new diagram generates an empty editor
vscode-1061 Compile Order View: Sometimes the view is empty after full build
DVT-17200 Predefined Projects: uvm_ref_flow does not run in xrun
DVT-18295 False error for decimal number containing ‘?’ digit
DVT-18358 Missing UNELABORATED_MODULE warning after project restore
DVT-18359 Instance parameter values under generate blocks are not elaborated in some cases
DVT-18365 False ILLEGAL_IMPLICIT error in some cases where a file is compiled multiple times
Enhancements
vscode-1011 Validate nature values in the [build].ls file before starting the Language Server
DVT-18287 Change +dvt_elaboration_skip directives to exclude elaborated instances
DVT-18288 Add elaboration directives to black box instances
22.1.35 (17 November 2022)
Bugfixes
vscode-1050 Compile Order View: Wrong results when searching for a file before full build is done
vscode-1052 Compile Order View: Wrong results when toggling Content Filters if the search box is populated
vscode-1057 Sometimes the Breadcrumb throws an error after closing a Diagram Editor
vscode-1059 Diagrams get stuck in “Generating Diagram…” after applying a filter
vscode-1060 Generate setters/getters displays duplicate entries in UI
vscode-1063 Bitfield Diagrams: Wrong types displayed in the table for similar structs
DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile
DVT-18270 Bitfield Diagrams: Table line highlight does not work when clicking an SVG field using the XULRunner web browser
DVT-18317 Bitfield Diagrams: SVG fields cannot be clicked if the name has the same length
DVT-18338 Wrong one-hot encoded FSM diagram generated when state values have more than 32bits
DVT-18344 StackOverflowException during elaboration for a function’s implicit return variable used as argument
Enhancements
DVT-18105 Add support for ‘fl_property’ in PSL assertions
DVT-18320 Show potential match for method when its class is used as a parameter value
22.1.34 (8 November 2022)
Features
DVT-8282 Show computed struct size in tooltip
DVT-15584 Bitfield Diagrams: Add support for packed data types
Bugfixes
vscode-1007 “Cancel Build” command has no effect when it’s invoked right after the build started
vscode-1023 Compile Order View: View content is not updated correctly after clearing the search box
vscode-1024 Compile Order View: UI freezes when the last match gets removed at incremental build
vscode-1049 NullPointerException when opening a file with an unknown extension
vscode-1051 Do not expand macro if the selected text already contains a macro expansion
vscode-1053 Compile Order View: Sometimes clearing the search box freezes the view
vscode-1054 Marketplace extension is missing the dvt_simlog_processor.sh script
DVT-18280 Package parameter value not computed when referencing another local parameter with package scope
DVT-18289 Wrong one-hot encoded FSM diagram generated when state values are parameter values
DVT-18297 Incorrect evaluation of an if statement in some cases during elaboration
DVT-18300 Show Connected TLM Ports: Ports from ‘connect’ method argument are not shown
DVT-18301 Jump to Connected TLM Port: Ports from ‘connect’ method argument are not taken into consideration when jumping to connection
Enhancements
vscode-763 Show warning when the expansion does not match the definition of a collapsed macro
vscode-996 Show a visual indication in the UI when build is in progress
vscode-1027 Show a visual indication in the UI when saving a diagram
vscode-1035 Add preference for controlling if the build console should be automatically focused when a build starts
22.1.33 (2 November 2022)
Bugfixes
vscode-1047 Extension build pre-installed in a DVT distro fails to activate
22.1.32 (1 November 2022)
Performance
vscode-1029 Improve Workspace Symbols performance
DVT-17481 Reduce memory needed during elaboration for design with many generates
DVT-18248 Improve hyperlink performance in specific contexts
Features
vscode-633 Added tasks predefined problem matchers for several simulators
DVT-3004 Ability to navigate between connected TLM ports
DVT-18226 Ability to show all connected TLM ports for a selected TLM port
Bugfixes
vscode-1015 Dismissing the QuickPick when selecting a standalone server to connect to will still connect to a server
vscode-1036 NullPointerException when opening the Refactor Preview Panel
vscode-1040 BadLocationException thrown when publishing diagnostics after incremental build
DVT-18097 +dvt_encrypted_code_auto_api does not work for code encrypted using `pragma protect begin_protected/end_protected
DVT-18208 False UNDECLARED_IDENTIFIER error for parameterized class enum value accessed hierarchically inside class scope
DVT-18252 UVM Bitfield Diagrams: Parameters are not evaluated in configurations
DVT-18253 False extern constraint/method declaration marked as usage when searching for program with the same name
Enhancements
vscode-885 Improve UI usability when overwriting a predefined project
vscode-1016 Improve user experience when license can’t be checked-out
vscode-1033 Show error message when DVT_CHECKOUT_HOOK fails
vscode-1034 Ability to customize the working directory when invoking DVT_CHECKOUT_HOOK
DVT-18104 Add non-standard support for class constructor call as method argument default value
DVT-18128 +dvt_encrypted_code_auto_api should define parameters when used inside dimensions
DVT-18189 Trigger syntax error for continuous assignments in packages
DVT-18260 Improve evaluation performance for struct inside struct types in elaboration
DVT-18264 Add dedicated build configuration directive for loop cutoff of function statements
22.1.31 (20 October 2022)
Bugfixes
DVT-17516 Code Formatting: Wrong vertical alignment of unpacked dimensions for signed module signal declarations
DVT-18174 Incorrect macro expansion for `` after parameter usage
DVT-18196 False MISSING_PARAMETER_OVERRIDE error for specialized class forward typedef
22.1.30 (11 October 2022)
Bugfixes
vscode-1010 Unable to open files from Compile Order / Design Hierarchy / Verification Hierarchy when they are on a Windows mapped network drive
vscode-1025 Missing override annotations when there are multiple visible editors
DVT-18107 Trigger SIGNAL_MULTIPLE_DRIVERS warning for initial value drivers
DVT-18171 Incorrect parameter value when assigned to a non-constant function
Enhancements
DVT-15168 Bitfield Diagrams: Ability to save the register field table alongside the diagram
DVT-18044 Promote UNSPECIFIED_STANDARD_MISSING_TASK_IMPLEMENTATION warning to error
DVT-18172 dvt_elaboration_loop_block_cutoff should also limit for/while/repeat statements in function evaluations
22.1.28 (28 September 2022)
Performance
DVT-18124 Improve performance of incremental compilation when included file contains many extern methods/constraints
Features
DVT-17817 Add autocomplete proposal for an assignment pattern that initializes a struct type variable
Bugfixes
DVT-17974 False ASSIGNMENT_NON_BLOCKING warning in sequential always block with event control error
DVT-18071 False USAGE_BEFORE_DECLARATION error for type of non-ansi port
DVT-18109 The +dvt_set_directive_nof_args only works within the first +dvt_init section
DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals
Enhancements
DVT-17409 Build config: -ccwarn directive should take one argument
DVT-17593 Build config: -denalipath directive should take one argument
DVT-17776 Build config: -dms_wreal_init directive should take one argument
DVT-17937 Build config: -max_always_prune should take one argument
22.1.27 (13 September 2022)
Performance
DVT-17444 Improve parameter text value computation for large arrays
Bugfixes
vscode-970 Wrong breadcrumb when generating diagram from Design/Verification Hierarchy
vscode-994 Design Diagrams: Sometimes ‘Show Connections’ generates incomplete diagram
vscode-1014 Code Actions: Edit inserted at wrong offset when trigger editor is different than target editor
DVT-17948 False UNDECLARED_IDENTIFIER error thrown when using bound instance in hierarchical accesses
DVT-18045 False ILLEGAL_CONSTANT_ASSIGN error for enum literal used with repetition in bins
DVT-18059 False UNDECLARED_IDENTIFIER error at incremental for bind instance declared at the library level
Enhancements
DVT-17893 Build config: Add predefined environment variable _XRUNROOT_ in xcelium.xrun compatibility mode
DVT-17938 Ability to customize the number of arguments for build config directives using +dvt_set_directive_nof_args
22.1.26 (7 September 2022)
Performance
DVT-17957 Improve performance of incremental compilation on files which contain import clauses and included files
Bugfixes
vscode-976 Build Console update is delayed a few seconds after the build is done
DVT-18021 Do not trigger USAGE_BEFORE_DECLARATION errors for field usages in named action blocks when the declaration file is compiled multiple times
Enhancements
vscode-1012 Code Factory: Improve performance and usability
DVT-17891 Throw error when struct assignment pattern has more associations than the structure has fields
22.1.25 (30 August 2022)
Bugfixes
vscode-966 Sometimes Design and Verification Breadcrumbs are not visible
vscode-1002 Files displayed in the Compiled Files View should not be affected by the DVT.workspaceSymbols.maximumNumberOfSymbols preference
vscode-1005 Workspace Symbols: Unable to navigate to file in Windows
DVT-14659 Elaboration support for a configuration used inside another configuration with instance paths relative to the first
Enhancements
DVT-17860 Automatically compress generated thread dumps
DVT-17909 Filter WIDTH_MISMATCH_PADDING warnings on ‘uvm_bitstream_t ‘ predefined type
22.1.24 (22 August 2022)
Performance
DVT-17980 Improve build performance for projects containing encrypted code
Bugfixes
vscode-989 UML Diagrams: Empty diagram editor after rebuild
vscode-995 Preserve cursor focus when showing the Build Console
vscode-998 IllegalStateException thrown when using Extract to Module
DVT-17884 False UNDECLARED_IDENTIFIER reported when a covergroup parameter default value references a previously defined parameter
Enhancements
vscode-878 Code Factory: Ability to use custom templates
vscode-961 Using Show Diagram on a port in Design Hierarchy Ports View should generate a connection diagram
vscode-981 Limit the number of symbols displayed in Workspace Symbols View
DVT-11030 Enhance argument checking for system function calls
22.1.23 (16 August 2022)
CLEANUP - DVT-17912 Do not show BuildCancelException after canceling the elaboration in some cases
Features
vscode-225 Ability to jump to case branch
vscode-697 Scope Breadcrumb: Ability to copy qualified name
Bugfixes
vscode-873 FSM Diagrams: The content of the transition table is duplicated when applying preferences
vscode-887 Open folder from a mapped network drive does not work
vscode-903 Modifying the preprocessing defines inside the build configuration file does not update the inactive code background for the opened files after full build
vscode-929 & vscode-959 Breadcrumb is not updated when switching from text editor to diagram
vscode-952 Ignore empty values of -lang argument in dvt_ls.sh and dvt_code.sh
vscode-973 Inactive code background is displayed only for the active editor after full build
vscode-974 NPE when computing breadcrumb after using hyperlinks
vscode-975 NPE when computing diagnostics after incremental build
vscode-979 Error thrown when invoking the “Activate” command inside an empty directory
vscode-982 Terminal environment is incorrectly altered when the inherited environment variables contain strings with other environment variables
vscode-988 UVM Components Diagram: Refreshing the diagram after full build or incremental build gets stuck in “Generating diagram…”
vscode-992 Content Filters do not work for linting failures
DVT-17509 False width mismatch warning when array operand has incorrectly computed integer overflow value
DVT-17524 Support for vlogan invocations when configuring the build configuration using +dvt_init_from_simlog
DVT-17877 Add support for range expression after concatenation
DVT-17945 Do not trigger ArrayIndexOutOfBoundsException for range select in array operand with incorrect computed dimension
Enhancements
vscode-707 Implement folding range on the server side
vscode-821 WaveDrom Diagrams: Display a message in the tooltip when wave description exceeds the limit of characters
vscode-871 Add preference to change inactive code background color
vscode-904 Check for mandatory -lang argument when using dvt_ls.sh and dvt_code.sh
vscode-905 Prompt for selecting project language support if no language is found inside [build].ls or .project files
vscode-935 Wavedrom Diagram updates as you type
vscode-980 Switch to Java 11 for Linux ARM64 and macOS Apple Silicon
DVT-9379 Check completeness of struct assignment pattern
DVT-15941 Set a limit for the number of incrementally adaptive elaborated instances
DVT-17678 Add folding range for #region/#endregion block
DVT-17764 Add support for +defineall+ directive in xcelium.xrun compatibility mode
DVT-17863 Trigger error for duplicate constraint implementation
DVT-17897 Trigger error instead of warning for duplicate constraint
DVT-17911 Trigger a NON_STANDARD warning when detecting whitespace after line continuation in macros
DVT-17934 Improve recurrence detection for instances under generate block during elaboration
DVT-17935 Add option to configure the maximum recurrence depth for instances under generates
22.1.22 (2 August 2022)
Performance
DVT-17878 Slow incremental adaptive elaboration when changing package content referenced in many modules
Enhancements
vscode-793 Ability to “Open Log” from DVT Language Servers View
DVT-17428 Natural Docs definition list formatting in tooltips is not rendered correctly
DVT-17760 Support for time literals and time type in elaboration
DVT-17875 Support negative values for loop generate indices
Bugfixes
DVT-14925 Incorrect recurrence detected for instances of the same design but with different parameter values
DVT-17834 Recurrence not detected at incremental elaboration
DVT-17837 Tick cast should preserve sign when casting at a specific size
DVT-17881 OutOfBoundsException thrown when selecting from an unpacked array
DVT-17886 Do not initialize static variable in constant function during elaboration
22.1.21 (21 July 2022)
Enhancements
vscode-944 Verissimo: Ability to rerun check
Bugfixes
vscode-716 GUI freezes when the last match from the Verification/Design Hierarchy Views gets removed at incremental build
vscode-805 Design Hierarchy: Sometimes the view becomes empty after expand/collapse
vscode-926 JavaDoc tags are not highlighted
vscode-933 “Jump to Matching Pair” command has no effect for files opened during full build
vscode-937 Wavedrom diagrams are not generated for files opened during full build
vscode-947 Override methods: Sometimes overridden methods are not inserted at the current cursor position
vscode-950 Verissimo: “Run Failed Checks” option is not available even though project contains failed checks
vscode-951 Collapse macro expansion in files opened during full build has no effect
vscode-953 Edits are applied directly when using “Refactoring with Preview…” command
vscode-954 Report an Issue gets stuck in “Collecting data…” when no folder is opened
vscode-955 Report an Issue: OS info not collected
DVT-16701 Add support for %m format specifier when evaluating $sformatf
22.1.20 (11 July 2022)
Performance
DVT-17734 Improve semantic checking time for projects with many classes specialized with other identically named classes
DVT-17773 Improve performance for class value parameter computation at incremental build
Enhancements
vscode-795 Ability to activate / deactivate DVT support in a workspace
vscode-895 Report an Issue: Collect all available registry files / logs / bootstrap logs when no language server is active
vscode-942 Diagrams: Selecting wavedrom when invoking “Show Diagram” on register shows bitfield diagram
DVT-17675 Throw error for reversed part-select in SV expressions
DVT-17743 Perform automatic profiling of design elaboration after a configurable time threshold
Bugfixes
vscode-648 Design Hierarchy/Verification Hierarchy scroll gets stuck after a sequence of actions
vscode-899 Verissimo: Unable to start a linting session over Remote-SSH when selecting a ruleset or waivers file via ‘Browse File System…’
vscode-927 Verissimo: Diagnostics are not updated after rerunning checks
vscode-932 Verissimo: Opening check description displays default description even if customized
vscode-936 Override methods dialog should always allow implementing new(), pre_randomize() and post_randomize()
vscode-938 Update code templates to place cursor in the right position after insertion
vscode-943 UVM Components Diagram: “Hide unconnected ports” and “Hide blocks without ports” filters do not work properly
vscode-945 Verissimo: “Generate and Open HTML Report” has no effect if an HTML report is already opened
DVT-17583 Equality operators return X even when relation is not ambiguous
DVT-17770 False width mismatch error when local function variable operand has the same name with a module parameter
DVT-17777 UNELABORATED_PACKAGE warnings are sometimes not properly triggered at incremental build in the currently edited file
22.1.19 (28 June 2022)
Enhancements
vscode-721 Ability to start VS Code / VSCodium using dvt_cli.sh -vscode
vscode-806 Compile Order: Ability to show only files with errors
DVT-14954 Evaluate assignments where the LH side is hierarchical identifier
DVT-17602 Disable OUTPUT_PORT_READ check for SVA usages
DVT-17702 Support for anonymous union/struct nested inside packed struct in evaluation
DVT-17703 Rename NO_GENERATE_BLOCK_EVAL value of elaboration control directive to EACH_GENERATE_BLOCK_ONCE
DVT-17704 Add option to not elaborate generate blocks
Bugfixes
DVT-17482 Wrong ILLEGAL_TYPE_REFERENCE error thrown for typedef of virtual interface inside interface function
DVT-17686 False UNDEFINED_OPERATOR error when assigning a byte unsigned array to a typedef compatible array
DVT-17695 Missing UNELABORATED_PACKAGE warning for imported package in unelaborated module
DVT-17699 Do not trigger ILLEGAL_NON_INTERFACE_VIRTUAL_FIELD error when the interface name is the same as an enclosing scope typedef declaration
DVT-17722 Semantic errors in unelaborated modules disappear at incremental build when no module is elaborated
DVT-17724 Semantic errors not updated throughout the whole project when changing the signing of a module signal
22.1.18 (15 June 2022)
CLEANUP - vscode-843 Move trace log into a new console - vscode-893 Move server log and client log into separated consoles
Enhancements
vscode-728 Show server restart pop-up when changing .ls file
vscode-794 Periodically clean obsolete Language Server log files
vscode-838 Show server restart pop-up when changing server relevant preferences
Bugfixes
DVT-14133 UVM Components Diagram: TLM port connections are not displayed when the component instance name does not match the field name
DVT-14835 Trigger DUPLICATE_IDENTIFIER warning when the bind target scope contains already a field with the same name as the bind
DVT-17658 Build gets stuck during elaboration of some macro defined struct data type parameters
DVT-17701 Support for assignment shift in evaluation
22.1.17 (8 June 2022)
Features
vscode-395 Add support for Verification Breadcrumb
vscode-826 Add file decorators for compiled/encrypted/skipped files
Enhancements
vscode-471 Add “Step Into” action for UVM Components Diagrams
vscode-616 Triggering “Show Diagram” from Command Palette on a UVM component should ask for diagram type (UML or UVM Component)
DVT-17650 Extend support for bit vector system functions in evaluation
Bugfixes
vscode-701 Sometimes “Open Design Breadcrumb Instance” selection is wrong
vscode-740 Design Breadcrumb: Wrong updates when opening instances from Design Hierarchy
vscode-741 Design Breadcrumb: “Select Other Design Breadcrumb Instance” command has no effect when the active editor contains a schematic diagram
vscode-801 Design Breadcrumb: Wrong updates when triggering ‘Open Design Breadcrumb Instance’
vscode-828 Design Breadcrumb: Wrong updates when double clicking on a port from Design Hierarchy
vscode-837 Design Breadcrumb Navigation doesn’t work if the current editor contains a design diagram
vscode-868 Sometimes “Jump to Matching Pair” command triggers unnecessary scroll
vscode-894 Starting a new Verissimo session using “Non-Standard Rules” ruleset runs “Basic Ruleset” instead
vscode-897 Sometimes “dvt_ls.bat is not recognized as an internal or external command, operable program or batch file” error is thrown on Windows
DVT-15358 No error reported when referring an enumerated type range base-name without specifying the index
DVT-17027 Incorrect enum literal value when specified with index from enum range
22.1.16 (31 May 2022)
Performance
DVT-17564 Improve evaluation performance of concatenation replication
DVT-17600 Improve semantic checking time for projects with many class specializations
Features
vscode-841 Ability to pass build configuration arguments to dvt_code.sh and dvt_ls.sh using -f, -F, or -name.build args
Enhancements
vscode-845 Show pop-up to disable nature when only one language license is available
DVT-17587 Extended support for math system functions in evaluation
Bugfixes
vscode-857 Verissimo: Build console is not cleared properly sometimes
vscode-859 Rebuild should not be stopped when the provided compile waivers file is invalid
vscode-864 Verissimo: Sometimes selecting waivers file results in “Invalid waivers file!”
vscode-875 Extension doesn’t work over Remote-SSH when using VS Code Insiders
vscode-876 Report an Issue gets stuck in “Collecting data…” when using VS Code Insiders
vscode-877 Prompt for selecting the project nature is not always displayed when creating a DVT project starting from an empty directory
vscode-879 Prompt for selecting the project nature displays wrong information when specifying the -lang flag to dvt_code.sh
vscode-881 Unable to start Language Server if the project path contains whitespaces
DVT-17043 Packages imported in unelaborated package are considered elaborated
DVT-17588 Incorrect tooltip for parameter with no data type and unknown value
22.1.15 (18 May 2022)
Bugfixes
vscode-872 Extension doesn’t work over Remote-SSH throwing “Maximum call stack size exceeded” error
22.1.14 (17 May 2022)
Performance
DVT-17563 Improve performance of semantic checks in the unelaborated design
CLEANUP - vscode-870 Change the id of DVT Themes to match their names
Enhancements
vscode-722 Add -map support for dvt_code.sh and dvt_ls.sh
vscode-768 Ability to reuse the current window when using dvt_code.sh from embedded terminal
Bugfixes
vscode-804 A new Language Server is always started regardless the value of the DVT.languageServer.selection
vscode-863 Unable to start Language Server when the value of DVT.languageServer.launchCommand preference contains single quotes
DVT-17493 Incorrect UNELABORATED_MODULE warning after incremental elaboration for bound module
22.1.13 (9 May 2022)
DEPRECATED - DVT-17543 Deprecate dvt_elaboration_expression_operator_control build directive
Enhancements
vscode-761 Ability to see to how many problems a compile waiver was applied in the dvt_build.log file
DVT-17486 Build log/output should be consistent across tools
DVT-17492 Ability to skip elaboration of instances based on path pattern
DVT-17536 Change +dvt_elaboration_skip_modules directive to accept simple pattern
DVT-17554 Change dvt_disable_unelaborated_package_constants directive name to be in line with other similar directives
Bugfixes
DVT-17533 Incorrect hyperlink for parameter default value when multiple package classes have parameters with same name
DVT-17538 Incorrect evaluation of $sformatf when format_string is missing
DVT-17540 Cannot evaluate streaming operator used on a queue
22.1.12 (3 May 2022)
REMOVED
vscode-839 Remove DVT.textEditor.highlight.enableSemanticHighlight preferences
Enhancements
vscode-759 Enhance highlight in VS Code predefined themes
vscode-823 Highlight the matching keyword when placing the cursor on “begin”/”end”
vscode-831 Add command for jumping to pair bracket or to matching begin/end block
vscode-840 Enhance DVT Light and DVT Dark themes
DVT-17182 Trigger warning for unsized constant number in bit concatenation
Bugfixes
vscode-785 DVT Light Theme: Input boxes from the preferences page don’t have borders
vscode-848 Running dvt_ls script without “-build” argument results sometimes in wrong nature detection
DVT-15936 Bound instance errors cleaned after incremental adaptive elaboration
DVT-17495 Bound instances are incorrectly removed at incremental adaptive elaboration
DVT-17504 When searching for a module, all its instances having similar name are also reported
22.1.11 (18 April 2022)
Bugfixes
vscode-824 Sometimes Language Server becomes unreachable after expanding its entry in Language Servers View
DVT-14141 Semantic errors in `included files disappear when incremental build is performed in the including file
DVT-17440 Throw MISSING_PARAMETER_OVERRIDE error when no overrides are specified and the parameter has no default value
DVT-17489 Exception thrown when positional struct assignment pattern has more associations than the structure has fields
22.1.10 (12 April 2022)
Features
vscode-374 Add support for Type Hierarchy
Enhancements
vscode-807 Show version in Diagnostics View
DVT-17360 Throw error for use of the class resolution operator with a prefix that is the unadorned name of a parameterized class
DVT-17372 Support for static access of class value parameter evaluation during elaboration
Bugfixes
vscode-666 Sometimes views become empty after moving them in UI
vscode-671 Language Servers View: Client info should become empty after disconnecting from the server
vscode-753 Report an Issue: Remote host settings are not collected when using Remote-SSH
vscode-754 Do not apply automatic transformation of characters in comments
vscode-788 Design Hierarchy View: Sometimes context menu is not entirely visible in ports panel
vscode-789 Verification Hierarchy View: Sometimes context menu is not entirely visible in ports panel
vscode-800 Sometimes “Show Diagram” command displays a blank editor
vscode-813 Arrows from Compile Order / Design Hierarchy / Verification Hierarchy View are not rendered correctly in VS Code 1.66
vscode-818 Sometimes “‘common.bat’ is not recognized as an internal or external command, operable program or batch file” error is thrown on Windows
DVT-17394 False SIGNAL_NOT_RESET warning for struct member
DVT-17438 Ignore localparam override in elaboration
DVT-17461 ConcurrentModificationException thrown sometimes at elaboration in a mixed design when a component binds to module with implicit signals
DVT-17471 Do not show BuildCancelException after canceling the elaboration
22.1.9 (30 March 2022)
Enhancements
DVT-17404 Ability to stop elaboration at a certain module or entity based on file path
Bugfixes
vscode-719 Wrong syntax highlighting of arrays of events
vscode-749 Some comments from build configuration files are not properly highlighted
vscode-774 When disconnecting from an embedded Language Server, the server doesn’t close immediately
vscode-778 NullPointerException thrown when invoking formatting on a build configuration file
vscode-779 “DVT: Change Languages…” command does not restart the Language Server with the new languages set
vscode-780 “DVT LS registry file could not be created!” warning is thrown in Log Console
vscode-783 Unable to start Language Server if the extensions-dir contains whitespaces
vscode-784 Sometimes “mkdir: can’t create directory ‘“C:/’: “ error is thrown on Windows
vscode-786 Output of Custom Scripts run through dvt.custom.script command cannot be passed to other tasks
vscode-787 “DVT: Copy All Diagnostics” command is visible when the extension is not active
DVT-9433 Trigger error for incompatible array operands with signed vs. unsigned element types
DVT-17260 Incorrect WIDTH_MISMATCH warning when one of the operands is of union type
DVT-17382 Right argument of shifting operators should be treated as unsigned
DVT-17386 Evaluate signal select when passed to $bits system function call
DVT-17388 Evaluate uppercase ‘X expression
DVT-17411 False OVERRIDE_VIRTUAL_METHOD error for type alias of method signature types
DVT-17417 Show Usages: String usage should be marked as writer when calling predefined write functions on it
DVT-17422 False NON_EXISTING_TYPE semantic error when mixing wildcard and explicit imports and exports
22.1.8 (15 March 2022)
Performance
DVT-17343 Improve semantic checks for project with many multi level class specializations
Features
vscode-730 Ability to run DVT Custom Scripts as tasks, at startup and using dvt.custom.script command
vscode-744 Ability to push DVT environment variables to terminal and tasks
DVT-17389 License: Add support for inline string license sources
DVT-17390 License: Add support for platform independent license source separator &
DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user
Enhancements
vscode-706 Ability to trigger Override Methods command from Content Assist
vscode-715 Ability to configure the “name” and “local” arguments in the <build_name>.ls file
vscode-734 Ability to print the language server version using dvt_ls.sh version
vscode-772 Add extension scripts to integrated terminal’s PATH
vscode-773 Point dvt_code.sh to running VS Code / VSCodium binary when running through integrated terminal
DVT-17183 Change warning message for unsized and unbased decimal numbers of 32 bits with sign overflow
DVT-17336 Correct evaluation of range select containing ambiguous value
DVT-17345 Limit maximum number of problems reported in the build log
DVT-17373 Change dvt_elaboration_loop_block_cutoff directive to elaborate at most the number specified of loop instances
DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value
Bugfixes
vscode-713 Expanding macro in a separate file is not working sometimes
vscode-752 Content Assist: Generate setters/getters proposals are not displayed if the prefix is preceded by tabs
vscode-760 Waive error results in VS Code reload when using Remote-SSH
vscode-762 Extension should not be activated if the workspace folder is not a valid DVT project
vscode-767 A Language Server is started for a project found in the workspace state even when opening an empty VS Code
DVT-17216 False ILLEGAL_ASSIGNMENT semantic error when the interface array of instances and the interface array port refer to interfaces in different libraries
DVT-17303 Implement Extern Method Content Assist: Javadoc comment not being generated
DVT-17333 Packed value does not change dimension when assigned to VHDL parameter from VLOG expression
DVT-17337 False NON_EXISTING_TYPE error when a class type starts with a type parameter in some cases
DVT-17346 No instance of a design should be considered changed at incremental if the design is not changed
DVT-17352 Incorrect signing positioned before type in function return type tooltip
DVT-17377 Module is incorrectly considered changed at incremental if it contains implicit signals
DVT-17378 Module is incorrectly considered changed if it has an import clause
DVT-17379 Remove support for signing before function return data type
DVT-17393 License: Fixed custom port support in local license sources
22.1.7 (2 March 2022)
Bugfixes
vscode-743 Update getting started predefined projects
vscode-750 Silently pass through the dvt_code.sh prerequisites checks when ldconfig is missing
vscode-751 Sometimes the Language Server doesn’t start on Windows throwing a PowerShell error about the “buffersize”
DVT-12402 Missing semantic error for ‘<scope1>::<scope2>’ when scope1 is not a parent of scope2
DVT-16975 Trigger semantic error for unknown interface identifier used as prefix of exported modport function declaration
DVT-17321 False ILLEGAL_ASSIGNMENT warning when operands have different number of packed dimensions but are equals in size
22.1.6 (23 February 2022)
Performance
DVT-17262 Improve evaluation performance for range select updates
DVT-17284 Improve elaboration performance for instances with many port connections
Features
vscode-710 Add settings to set environment variables visible to extension and to the extension started Language Servers
DVT-7043 Show available modules from libraries (-v, -y) in autoinstance
DVT-17312 Ability to relocate $HOME/.dvt using DVT_USER_DIR environment variable
Enhancements
DVT-17298 Add option to speed up elaboration in some cases by allowing more working memory
DVT-17304 Support for $increment, $high, $low system functions in elaboration
Bugfixes
vscode-682 Verification Hierarchy View: Pressing Top/Bottom buttons after commenting instance results in an empty view
vscode-684 Verification Hierarchy View: Sometimes GUI freezes after clearing the search bar
vscode-689 Ability to generate Wavedrom diagrams on macOS Apple Silicon and Linux ARM64
vscode-711 Open a Predefined Project: Collect projects from locations defined using DVT_PREDEFINED_PROJECTS_EXT_* env vars
vscode-714 In some cases the wrong build name is saved in the registry leading to a “ResponseErrorException: InitializeParams.initializationOptions.buildConfiguration doesn’t match the language server build configuration” when connecting to that Language Server
vscode-717 Move the registry lock file from temp folder to the registry folder to avoid conflicts
DVT-17130 Invalid MULTIPLE_PACKAGES semantic error when second declaration is imported after usage
DVT-17277 Incorrect actual value for package constant after incrementally changing its value
DVT-17279 Package constant UNRESOLVED_PARAMETER_VALUE warning disappears after incremental elaboration
22.1.5 (16 February 2022)
Performance
DVT-17252 Improved performance when evaluating pow with very large exponent
Enhancements
DVT-16973 Trigger error for non-checker instance inside program
Bugfixes
vscode-702 Sometimes submenus from context menu are not entirely visible
vscode-704 Sometimes folding is not working properly
DVT-17218 Wrong refactoring rename operation when applied on a class with its header defined within a macro
DVT-17259 Incorrect UNDECLARED_MODULE warning squiggle underline in some cases
22.1.4 (8 February 2022)
Enhancements
DVT-17028 Improve elaboration tooltip for parameters with no actual value
DVT-17209 Show LM_PROJECT value in the license log
Bugfixes
vscode-678 Design Breadcrumb is not working on Windows
vscode-680 URISyntaxException thrown on Windows
vscode-698 Code Lens: Triggering ‘Show Constraints’ results in error if the cursor is not placed on the rand variable
vscode-703 Highlight does not work for any other syntax except SystemVerilog and VHDL when using DVT color themes
DVT-17171 Rename Refactoring: Wrong potential match reported when renaming a module parameter
DVT-17181 No actual value displayed for changed parameter name after incremental adaptive elaboration
DVT-17210 Wrong hexadecimal string value displayed for parameter value
DVT-17214 False UNDECLARED_IDENTIFIER semantic error for function call prior to explicit import declaration
22.1.3 (31 January 2022)
Enhancements
vscode-641 Content Assist: Generate getters/setters for a single field when writing the prefix of the field
DVT-17064 Handle macro value changes incrementally
DVT-17158 Extend +dvt_elaboration_disable_method_eval directive to class value parameter evaluation
Bugfixes
vscode-676 Quick Fix: Add Port/Parameter doesn’t work across languages
vscode-677 NullPointerException thrown when triggering hyperlinks on predefined element
vscode-687 Design Breadcrumb is not working if a definition hyperlink is triggered on an invalid element
vscode-693 Sometimes language detection does not work and a NullPointerException is thrown when -lang is not specified
22.1.2 (26 January 2022)
Bugfixes
vscode-662 Diagrams: Depth preferences are sometimes wrongfully marked as disabled
vscode-663 Design Diagrams: Depth preference is wrongfully set in the interface
vscode-672 “Show Design Hierarchy” command triggers an error if the DVT activity wasn’t focused before
vscode-673 “Show Verification Hierarchy” command triggers an error if the DVT activity wasn’t focused before
vscode-674 Diagrams: Filters are not preserved when navigating through the diagram using the Design Breadcrumb
vscode-675 Wrong element indentation in view when using “Show Design Hierarchy” command on a non-top element
vscode-690 “Enable DVT” command throws exception when no workspace folder is opened
vscode-692 Some scripts are not working correctly when ANSI colors are always set for some system commands
22.1.1 (18 January 2022)
Features
vscode-595 Ability to override functions from Command Palette
DVT-2463 & DVT-8579 & DVT-13533 Show the actual value of a parameter in its tooltip
DVT-14593 Trigger warning for unelaborated module/entity
DVT-14628 Show number of bits for value parameters when elaborated
DVT-14653 Show the actual value for an elaborated package constant
DVT-16742 Trigger warning for unelaborated package
DVT-17078 Changed default to true for the +dvt_enable_elaboration directive
Enhancements
vscode-636 Add preference to enable/disable inactive code highlight
vscode-638 Code Actions: Prefill the direction and width in Add Port QuickPick
vscode-654 Split self contained extension into multiple platform specific extensions
vscode-660 Ability to customize the number of diagnostics shown in Problems View
DVT-2513 Show module parameters in Design Hierarchy Ports View
DVT-4773 Generate design diagram based on evaluated expressions in generate blocks
DVT-6020 The “Show Design Hierarchy” action should be aware of the current design breadcrumb
DVT-7904 Show dimension for array of instances in design diagrams
DVT-8770 Support for bound instances in design diagrams
DVT-8936 & DVT-10066 Enhance multiple tops elaboration
DVT-11233 False WIDTH_MISMATCH warning when connecting a logic[0:0] vector to an implicit signal
DVT-12697 Design Breadcrumb should be cursor sensitive
DVT-13428 Trigger DUPLICATE_ELEMENT error for bound instances with the same name
DVT-14391 Non-standard support for simple target instance name non-local to the bind directive
DVT-14646 Jump to declaration for elaboration errors should set the breadcrumb to the relevant path
DVT-14702 Support for ordered parameter overrides in configuration rule
DVT-14704 Ability to control elaboration steps
DVT-14807 Elaborate defparam assignments
DVT-14809 Incremental elaboration should be performed only on changed designs
DVT-14930 Hyperlinks: Ability to jump to the assignment of a parameter
DVT-15181 Design Breadcrumb: Hyperlinking outside the design scope should accurately update the breadcrumb
DVT-15209 Elaborate newly added design one level on editor open
DVT-16638 Add build config directive for hiding irrelevant WIDTH_MISMATCH semantic errors
DVT-17079 Ability to stop the elaboration of a certain cell (i.e. module, entity) based on name
DVT-17080 Add option to skip defparam elaboration
DVT-17081 Add option to change the number of elaboration passes when resolving bind directives
DVT-17082 Add option to configure the cut-off number for elaborated loop blocks
DVT-17083 Trigger elaboration warnings for defective loop blocks
DVT-17084 Trigger error for invalid liblist when elaborating an instance
DVT-17085 Trigger elaboration error for configuration top with invalid design
DVT-17086 Trigger elaboration error for cycles in parameter evaluation
DVT-17087 Trigger elaboration error for duplicate tops
DVT-17089 Trigger elaboration error for bound instance directly under bound instance
DVT-17090 Trigger error for unknown array of instance range
DVT-17091 Trigger error for unelaborated bind directive target
DVT-17092 Trigger elaboration warning for unresolved parameter expression
DVT-17093 Trigger elaboration warning for unresolved enum name expression
DVT-17094 Trigger elaboration warning for parameter without value
Bugfixes
vscode-596 Design Hierarchy View: Populate automatically when elaboration is on
vscode-597 Design Hierarchy View: Only the first top is shown when elaboration is on
vscode-618 Design Hierarchy View: Toggling elaboration results in an empty view
vscode-619 Compiled Files View: Active file is not revealed if it doesn’t contain override annotations
vscode-629 Focusing Output Panel clears the Design Breadcrumb for the current editor
vscode-634 Unable to use some commands from Command Palette when the Output Panel is focused
vscode-649 UVM Components Diagram: Diagrams generated from file are not displayed after changing the theme
vscode-651 Diagrams: Sometimes preferences are wrongly marked as enabled/disabled
vscode-652 Code Actions: Refactoring proposals should be displayed only when invoking “Refactor…” command
vscode-659 TypeError thrown by when using the diagrams
vscode-661 Sometimes diagrams are not rendered
vscode-664 Missing permissions for remote extension files when using Remote SSH
vscode-667 Compiled Files View: Populate automatically when connecting to a Language Server
DVT-7217 Skip checking hierarchical accesses in design elements which are not instantiated
DVT-7231 & DVT-7575 Skip checking hierarchical accesses in generate blocks which are not active
DVT-10917 Design hierarchy is not correctly computed when using -top top_name and top_name not found
DVT-12030 False UNDECLARED_IDENTIFIER semantic error when accessing a signal of a local bound instance
DVT-12122 Instance is not bound when bind statement is found on a lower design hierarchy level
DVT-14810 Update Design Hierarchy view at incremental for generate condition change
DVT-14833 False UNDECLARED_TOP error for VHDL design (entity, configuration) in mixed project
DVT-14871 Resolve simple identifiers even in unelaborated designs and generates
DVT-16018 Quick Fix: Sometimes declaring a for loop iterator using Declare Variable doesn’t place the new variable at the beginning of the scope
DVT-16491 Trigger semantic warning for signal width mismatch in instance port connections involving an interface instance signal
DVT-17050 Declare Port Quick Fix: Declared port width is not computed correctly
21.1.53 (22 December 2021)
Enhancements
vscode-626 Improve UI for Extract to module / Move selection to file
vscode-607 Show commands in Command Palette only when the context is valid
Bugfixes
vscode-605 Go to entity/architecture declaration hyperlinks are not working when triggered from a Verilog file
vscode-621 Design Diagrams: “Show Connections” does not uncheck “Hide unconnected ports” in preferences dialog
vscode-622 “endinterface” keyword is not highlighted
vscode-630 Diagrams are not generated if the cursor is placed at the end of an element name
vscode-631 Language Servers don’t start on Windows
vscode-632 dvt_code.sh does not support -lang argument
vscode-637 Sometimes Outline View becomes empty after undoing a Code Action
21.1.52 (14 December 2021)
Performance
vscode-550 Improve semantic highlight performance
Features
vscode-391 Code Factory: Ability to create components
vscode-551 Code Actions: Add Port from selected field
Enhancements
vscode-485 Add preference for enabling/disabling build automatically
vscode-523 Ability to Show in Design Hierarchy
vscode-539 Ability to Show in Verification Hierarchy
Bugfixes
vscode-445 Obsolete diagnostics are not cleared when +dvt_incremental_compile_checks directive is set to false
vscode-519 Signature Help is not available for module parameters
vscode-521 Files compiled out-of-scope are not displayed in Compile Order View
vscode-558 Inactive code background doesn’t appear in the second view when the editor is split vertically
vscode-570 ‘file.associations’ workspace preference should not be updated with default mappings
vscode-584 Workspace symbols semantic search provides wrong results
vscode-589 Override annotations tooltip should not contain the whole function signature
vscode-609 “Show Diagram” triggered from Command Palette does not work
vscode-612 Diagrams: Search navigation using the keyboard wrongfully jumps between matches after refresh
vscode-613 “Create New Waiver” webview buttons do not work
vscode-615 “generate” and “endgenerate” keywords are not highlighted
vscode-617 Report an Issue: Unable to send the report due to global state
vscode-620 Active editor should not revealed in Compiled Files View after full build if the view is collapsed
21.1.51 (6 December 2021)
Bugfixes
vscode-569 Design Diagrams do not preserve their type when navigating through the breadcrumb
vscode-573 Diagrams: Toolbar navigation issue after performing a refresh operation
vscode-575 A new UVM Components diagram is generated every time even if it is already opened
vscode-580 Change the DVT WebViews custom menu component to the VSCode Look & Feel
vscode-581 Diagram toolbar buttons click box is too small compared with the size of the button
vscode-582 All “dialog” Webviews Panels should have the “dialog” buttons top-right
vscode-585 Illegal reflective access by ro.amiq.dvt.utils.DVTProcessRunner warning when using Java >8
vscode-590 Report an issue doesn’t work
vscode-593 Schematic Diagrams: Applying filters resets diagram state
vscode-598 Design Diagrams: Breadcrumb navigation does not update diagram editor in elab mode
vscode-600 Diagrams do not adjust to resized view after zooming in/out
21.1.50 (23 November 2021)
Bugfixes
vscode-526 Sometimes Design Hierarchy entries disappear on double click
vscode-559 Dark theme is not applied properly to Wavedrom/Bitfield diagrams in tooltips until the “Preference: Color theme” command is invoked
vscode-562 “Open a DVT Predefined Project” merges the project to location instead of overwriting
vscode-564 Report an Issue: View is not displayed properly when editor is split vertically
vscode-566 Report an Issue: Wrong busy indicator when canceling ‘Save as Zip’ operation
vscode-568 ‘file.associations’ workspace preference should be merged instead of being overwritten
21.1.48 (16 November 2021)
Bugfixes
vscode-527 Show feedback while saving/sending the issue report
vscode-546 Design Breadcrumb: Wrong updates sometimes when using hyperlinks
vscode-547 Changing preferences after performing “Show Connections” displays diagrams not fitted to canvas
vscode-561 Starting a new Verissimo session using “All (OVM) Rules” ruleset runs “Basic (OVM) Rules” instead
21.1.47 (8 November 2021)
Enhancements
vscode-514 Design Diagrams: ‘Show Connections’ should automatically zoom in on the diagram
vscode-543 Ability to use environment variables in dvt.languageServer.launch.command preference
Bugfixes
vscode-541 Diagrams: Canvas is not properly cleared between actions
vscode-542 Sometimes registry files are not created before the client tries to connect to server
21.1.46 (2 November 2021)
Enhancements
vscode-522 Add support for tooltips in build configuration files
Bugfixes
vscode-482 Sometimes context menu is not entirely visible in views
vscode-506 Design Breadcrumb: Pick the correct instance of a module when using ‘Go to Source’ diagram action
vscode-538 Design Breadcrumb: Some diagram actions do not update the breadcrumb
21.1.45 (26 October 2021)
Bugfixes
vscode-491 Diagnostics positions are not updated after inserting whitespaces
vscode-503 Schematic Diagrams: Unable to show back the unconnected ports after hiding them
vscode-504 Wrong expand indication in Design Hierarchy View for the last element
vscode-505 Design Breadcrumb: Navigation Bar should clear after closing a Diagram Editor
vscode-511 ‘Show Diagram’ from Design Hierarchy has no effect in some cases
vscode-513 Sometimes Report an Issue view is not properly displayed
vscode-515 FSM Diagrams: Highlighted cells in the transition table are not cleared between selections
vscode-516 FSM Diagrams: Context menu is not available for diagram selection in some versions of VSCode
vscode-518 Design Breadcrumb: Switching from Schematic Diagram to FSM diagram keeps an obsolete navigation bar
vscode-524 Verification Hierarchy: “Show Diagram” generates a new diagram for the same instance
21.1.44 (19 October 2021)
Enhancements
vscode-497 Ability to embed WaveDrom description files within comments
Bugfixes
vscode-495 Cursor jumps to last edit when applying Add Port or Add Parameter quick fixes
vscode-496 “Move Selection to File” does not remove the selected section of code from the initial file
vscode-501 “Open a DVT Predefined Project…” command does not overwrite the existing folder
vscode-502 Double click on instance port with no connection in Design Hierarchy View should jump to port declaration
vscode-507 Some tooltips containing Bitfield Diagrams are not displayed in VSCode 1.60
21.1.43 (11 October 2021)
Enhancements
vscode-336 Add toolbar in Diagrams
vscode-439 Add search in Diagrams
Bugfixes
vscode-470 Dark theme is not applied to Wavedrom and Bitfield diagrams inside tooltips when using custom themes
vscode-489 Same diagram is rendered in separate editors in elaboration mode
21.1.41 (27 September 2021)
Features
vscode-381 Ability to jump to assignment
Bugfixes
vscode-460 Verissimo: Wrong title when running “Open Ruleset Documentation” using a predefined ruleset
vscode-461 Verissimo: Wrong name when running “Open Ruleset File” using a predefined ruleset
21.1.40 (21 September 2021)
Features
vscode-457 Ability to copy hierarchy path in Verification/Design Hierarchy View
vscode-459 Ability to automatically transform text while typing
Enhancements
vscode-456 Ability to expand/collapse macros in new file
vscode-466 Report an Issue: Restore user information (username, email, company) from previous session
Bugfixes
vscode-442 Add empty state indicator in Design/Verification Hierarchy View
vscode-458 “Connect to a Remote Language Server” command has a too restrictive host name pattern
vscode-462 Unable to trigger rebuild from Command Palette
vscode-464 Dark theme is not applied to Wavedrom and Bitfield diagrams inside tooltips
vscode-465 Bitfield Diagrams: Register field table is not displayed correctly in the tooltip in VSCode 1.60
21.1.39 (15 September 2021)
Features
vscode-3 Add dark theme
vscode-49 Add UVM Components Diagram
vscode-50 Add Semantic Highlight support
vscode-447 Ability to jump to pair bracket or to matching begin/end block
Enhancements
vscode-411 Design Breadcrumb: Accurate updates when navigating using hyperlinks
vscode-440 Ability to refresh diagrams
Bugfixes
vscode-444 Diagrams should be updated after build events and when enabling/disabling Content Filters
vscode-452 Double click on top element in Verification Hierarchy has no effect
vscode-453 FSM Diagrams: Selection in diagram does not highlight transition table elements
vscode-454 Schematic Diagrams: Sometimes wires disappear when selecting enclosing block
vscode-455 Views become empty after starting a Verissimo session
21.1.38 (8 September 2021)
Features
vscode-417 Ability to save diagrams
vscode-427 Code Actions: Extract Selection to Variable
vscode-428 Code Actions: Extract Selection to Method
vscode-435 Ability to show instances
vscode-438 Code Actions: Declare Extern Method Prototype
Enhancements
vscode-215 Add line wrap formatting preferences
Bugfixes
vscode-415 Design Breadcrumb: Breadcrumb freezes when clicking on recurrence detected label
vscode-421 Design Breadcrumb: Wrong breadcrumb when generating a diagram from Design Hierarchy
vscode-434 Override annotations: Missing hyperlinks to overridden/shadowed elements
vscode-441 Design Breadcrumb: “Select Other Instance” updates the breadcrumb after switching editors
vscode-443 Inactive code background is not updated after incremental build
vscode-449 Inactive code background is not computed when opening a new file
vscode-450 Design Breadcrumb: Breadcrumb is not updated after full build if the editor is not focused
21.1.36 (30 August 2021)
Features
vscode-282 Code Actions: Add support for Add Port/Parameter
vscode-351 Ability to Report an Issue
vscode-368 Ability to check DVT VSCode Status
vscode-397 UVM Sequence Tree View
vscode-407 Code Actions: Expand .* Port Connections
vscode-408 Code Actions: Extract Selection to Module
vscode-409 Code Actions: Split/Join to Extern and Implementation
vscode-425 Code Actions: Bind Method Call Arguments by Name/Position
vscode-426 Code Actions: Explicitly Declare Implicit Signal
vscode-429 Code Actions: Move Selection to New File
Enhancements
vscode-401 Migrate DVT Detached Servers view to an editor webview opened on request (command pallete)
Bugfixes
vscode-422 Language Server becomes unresponsive after some idle time
21.1.35 (23 August 2021)
Features
vscode-418 Code Actions: Change ‘extends’ to ‘implements’
vscode-419 Code Actions: Change ‘implements’ to ‘extends’
Enhancements
vscode-230 Ability to perform semantic search in Workspace Symbols
Bugfixes
vscode-413 Problems View is not updated after connecting to a language server after full build
vscode-416 Design Breadcrumb: StackOverflowError thrown for design recurrences
vscode-420 Unable to navigate through Design Breadcrumb when a schematic diagram is open
21.1.34 (16 August 2021)
Features
vscode-366 Code Factory: Ability to create wavedrom diagram
vscode-388 Code Factory: Ability to create instances
vscode-389 Code Factory: Ability to create signals
vscode-390 Code Factory: Ability to create testbenches
vscode-406 Code Actions: Connect instance ports by name/position
vscode-410 Code Factory: Ability to create logic signals
Enhancements
vscode-396 Show override/shadow indicators
Bugfixes
vscode-94 DVT VSCode extension displays wrong file associations when the build configuration contains Mode Specific Directives
21.1.33 (9 August 2021)
Features
vscode-283 Code Actions: Add support for Update Instance to Match Module Definition
Enhancements
vscode-364 Server should start on “localhost” instead of “any”
vscode-369 Ability to start a remote server listening on “any” interface
vscode-393 Find References: Add preference to show/hide non-type-matching references when searching for fields or methods of type-parameterized classes
Bugfixes
vscode-399 Build output (console) should jump in focus instead of the log output (console)
vscode-412 Arithmetic Exception thrown when triggering content assist inside macro calls
21.1.32 (3 August 2021)
Features
vscode-272 Add support for Verification Hierarchy View
vscode-340 Add ability to open including file
Enhancements
vscode-378 Find References: Add preference for showing/hiding function overrides
Bugfixes
vscode-385 No Quick Fix Proposals available on diagnostics
21.1.31 (27 July 2021)
Features
vscode-270 Ability to checkout read-only files when modified
Enhancements
vscode-301 Verissimo: Remove redundant code actions for failures
vscode-380 Verissimo: Automatically select the starting point of the new generated waivers
Bugfixes
vscode-375 Verissimo: Unable to define new waivers by using Code Actions
vscode-376 Verissimo: Unable to open check description
vscode-377 Verissimo: Opening HTML Report gets stuck during loading
vscode-383 IllegalArgumentException thrown when publishing diagnostics
21.1.30 (20 July 2021)
Features
vscode-232 Add support for Call Hierarchy
21.1.29 (12 July 2021)
Bugfixes
vscode-372 Unable to start the server when the workspace folder is symlinked
21.1.28 (6 July 2021)
Features
vscode-312 Ability to open Predefined Projects from an empty Explorer or using the command pallete
vscode-371 Ability to easily create a DVT Project from an empty Explorer or from an existing folder
21.1.27 (28 June 2021)
Bugfixes
vscode-362 Diagrams are rendered in separate editors when performing some actions from the context menu
vscode-363 Schematic Diagrams: First “Go to Source” option not working when multiple instances are selected
vscode-365 Diagrams: Context menus overflow the container view
21.1.26 (22 June 2021)
Features
vscode-311 Add syntax highlight in build configuration files
vscode-356 Add quick fix for missing file from build configuration files
Bugfixes
vscode-359 StringIndexOutOfBoundsException when computing “Expand Macro” code CodeLens
vscode-360 Bitfield Diagrams are not generated while a WaveDrom diagram is rendered
vscode-361 Bitfield Diagrams are not properly generated in some cases
21.1.25 (16 June 2021)
Features
vscode-67 Add VSCodium in DVT distributions
vscode-338 Add FSM diagrams
vscode-350 Add dvt_ls.sh and dvt_code.sh scripts to support flow integration (Linux)
Enhancements
vscode-324 Add preferences for showing/hiding Code Lens
vscode-325 Ability to expand/collapse macros from context menu
vscode-339 Add preferences for diagram generation
vscode-348 Ability to navigate inside Compiler Order and Design Hierarchy search boxes using arrow keys
vscode-355 Ability to show constraints from context menu
Bugfixes
vscode-347 When filtering Design Hierarchy by port name, the ports matching the query should be displayed at the top of the ports panel
vscode-354 Wrong active build configuration displayed in the status bar when trying to restore a non-existent build config file from the last session
21.1.22 (24 May 2021)
Bugfixes
vscode-343 Unable to use Verissimo after applying waivers for failures or check
vscode-344 Extension is not activated after creating a new SystemVerilog file in a non-DVT project
vscode-346 Design Diagrams: Go to source action does not work for sequential and combinational logic
21.1.21 (18 May 2021)
Performance
vscode-345 Improve performance when selecting the active build configuration file
21.1.20 (17 May 2021)
Enhancements
vscode-328 Update Design Diagrams when navigating through the Design Breadcrumb
vscode-335 Add support for Design Diagram Actions
vscode-337 Add support for UML Diagram Actions
Bugfixes
vscode-332 Wavedrom diagrams are not rendered inside tooltips due to a change in the VSCode API
21.1.14 (13 April 2021)
Enhancements
vscode-327 Ability to display Schematic Diagrams from Design Hierarchy
vscode-334 Mark as readers/writers the usages of class getters/setters when searching for a field class
Bugfixes
vscode-331 Applying filters after changing the diagram type updates the initial diagram
21.1.13 (5 April 2021)
Bugfixes
vscode-329 Content Filters are not applied on Compile Order View and Design Hierarchy View
21.1.12 (30 March 2021)
Features
vscode-290 Add design Schematic, Flow and Block diagrams
21.1.11 (22 March 2021)
Features
vscode-228 Add support for Design Breadcrumb
21.1.10 (15 March 2021)
Bugfixes
vscode-309 Incremental build is not triggered automatically after save
21.1.9 (8 March 2021)
Features
vscode-229 Add support for Design Hierarchy View
Bugfixes
vscode-307 Verissimo: Wrongfully reported failures
vscode-308 Extension is not activated if the workspace does not contain any build configuration file
21.1.7 (22 February 2021)
Enhancements
vscode-306 After browsing the file system, validate the selected waivers and ruleset files when starting a new Verissimo session
Bugfixes
vscode-304 When there are multiple Verissimo failures inside a macro call, only some of them are displayed
vscode-305 Display only valid waivers file when starting a new Verissimo session
21.1.6 (15 February 2021)
Bugfixes
vscode-300 Starting Verissimo session using an invalid waivers file removes all problems from project
vscode-302 WaveDrom Diagrams: Diagrams are not displayed when reopening the editor
vscode-303 Bitfield Diagrams: Diagrams are not displayed for hex reset values
21.1.4 (2 February 2021)
Bugfixes
vscode-296 Hyperlink to included file jumps to the wrong location
21.1.2 (18 January 2021)
Bugfixes
vscode-280 Wrong errors reported when opening VSCode with unsaved files
vscode-292 Compile waiver updates are not always taken into account
vscode-293 UML Diagrams: Wrong font scaling of type names
vscode-294 Bitfield Diagrams: Tooltip displays wrong information when hovering over field label
vscode-295 Bitfield Diagrams: Selection not working when clicking over field label
21.1.1 (11 January 2021)
Features
vscode-281 Add UML Diagrams
20.1.44 (21 December 2020)
Features
vscode-202 Add support for Quick Fixes
vscode-284 Add Compile Order View
20.1.42 (4 December 2020)
Features
vscode-44 Wavedrom and Bitfield Diagrams Integration
vscode-190 Use VSCode theme in Verissimo HTML Report
20.1.41 (20 November 2020)
Enhancements
vscode-264 When DVT_XXX_HOME variables are not set, fall back to known $DVT_HOME locations
vscode-279 Use VSCode theme inside Verissimo Rulepool Documentation
20.1.39 (9 November 2020)
Performance
vscode-277 Improve performance for tooltips with Wavedrom timing and bitfield diagrams
Bugfixes
vscode-222 +dvt_build_log_file_location directive is not taken into account at full build
vscode-273 Rename Refactoring is not applied when previewing changes using diff
vscode-275 Defining compile waivers with other severity than DISABLED has no effect
vscode-276 NullPointerException in the Language Server when computing constraints of a variable
20.1.38 (30 October 2020)
Features
vscode-145 Ability to start and connect to Detached Language Servers
vscode-258 Ability to set DVT_HOME and DVT_LICENSE_FILE variables in preferences
Enhancements
vscode-236 Show progress when computing References and Workspace Symbols
vscode-254 Refactoring is done in two steps, requiring user review, when encountering conflicts during rename
Bugfixes
vscode-189 Verissimo HTML Report displays wrong URL for restoring the report state
vscode-269 Verissimo license is not checked-in when the Verissimo session is closed
20.1.37 (23 October 2020)
Bugfixes
vscode-268 Rebuilding project after build was canceled is not working
20.1.36 (16 October 2020)
Features
vscode-166 Add support for Selection Range
Enhancements
vscode-231 Display Wavedrom and Bitfield in tooltips
Bugfixes
vscode-265 “Expand All Levels” Code Lens expands only the first level of macro
20.1.35 (8 October 2020)
Features
vscode-263 Support for “Go to declaration” hyperlink
Bugfixes
vscode-260 Verissimo rules do not appear in console when linting
vscode-261 Verissimo ruleset documentation does not change when creating a new session
20.1.34 (2 October 2020)
Features
vscode-1 Content Assist: Add support for templates
vscode-2 Content Assist: Add support for auto-instantiation
vscode-235 Ability to show constraints of a variable using Code Lens
vscode-247 Add “Open Waivers File” option to open the active Verissimo waivers file
vscode-248 Add “Open Ruleset File” option to open the active Verissimo ruleset file
vscode-249 Add “Open Ruleset Documentation” option to open the HTML documentation of the active Verissimo ruleset
vscode-250 Ability to save and run Verissimo session configuration
vscode-251 “Generate and Open HTML Documentation” option to generate and open the Verissimo HTML report
Bugfixes
vscode-252 Editor shouldn’t split when using the “Open Check description” quickfix option
vscode-255 Wrong rename refactoring operation when applied on unsaved files
vscode-257 Hyperlinks to macros are not displayed in tooltips
20.1.33 (28 September 2020)
Bugfixes
vscode-244 Automatic refresh of Outline, References and Problems is performed when changing VSCode preferences
vscode-245 Inactive code background is not updated after an incremental or a full build
vscode-246 Content Filters toggle button has no effect
20.1.32 (17 September 2020)
Features
vscode-206 Ability to run Verissimo
vscode-218 Ability to jump to super implementation
vscode-224 Ability to jump to child implementation
Enhancements
vscode-204 Content Assist: Ability to specify JavaDoc comment for generated functions and tasks
vscode-243 Content Assist: Append context information after block end keywords
Bugfixes
vscode-238 Content Assist: Wrong override proposals in classes containing more than 100 functions
20.1.31 (11 September 2020)
Features
vscode-75 Ability to show readers/writers
vscode-129 Ability to expand/collapse macros from Code Lens
vscode-136 Content Assist: Ability to generate setters and getters
Bugfixes
vscode-205 Content Assist: Incorrect indentation when overriding function
vscode-220 “Rename failed to apply edits” error on Windows
20.1.30 (3 September 2020)
Features
vscode-197 Added support for workspace folders
Enhancements
vscode-119 Ability to configure formatting preferences for whitespaces, indentation and vertical alignment
vscode-212 Automatically reapply waivers when detecting changes in waivers XML file
vscode-214 Ability to switch between build configuration files from all workspace folders
vscode-221 Content Assist: Ability to override methods
Bugfixes
vscode-209 NullPointerException when cancelling a hover request
vscode-219 “Unable to open resource” error when using hyperlinks on Windows
20.1.29 (28 August 2020)
Enhancements
vscode-199 Ability to configure auto-complete preferences
Bugfixes
vscode-207 NullPointerException when triggering auto-complete inside `include “…”
20.1.28 (21 August 2020)
Performance
vscode-194 Improve performance for computing workspace symbols
Features
vscode-43 Add hover support
Enhancements
vscode-70 Escape documentation of autocomplete proposals to Markdown syntax
vscode-186 Automatically start language server when creating a new DVT project
vscode-192 Throw “Operation unavailable” message when element which should be searched for couldn’t be resolved
vscode-193 Rename refactorings should take into account allowPotentialMatches preference
Bugfixes
vscode-195 Incremental build does not show syntactic errors in non-current file
vscode-196 Verissimo HTML report not opening when using custom name
20.1.27 (14 August 2020)
Features
vscode-35 Ability to waive problems from Problems Tab
vscode-46 Add support for Rename Refactoring
vscode-152 Ability to define compile waivers
Enhancements
vscode-31 Ability to open file with failures by double clicking in Verissimo HTML Report
vscode-164 Format specifier autocomplete after % character
vscode-172 Add preference to allow Potential Matches for references
Bugfixes
vscode-30 Icons in Verissimo HTML Report are not displayed on Windows
vscode-163 Content Assist: env vars are not shown as proposals in build config files
vscode-182 Rebuilding project after deleting waivers file does not update problem to its original severity
vscode-191 Verissimo HTML Report does not load completely sometimes
20.1.26 (30 July 2020)
Features
vscode-154 Add support for “Go to Type Definition”
Bugfixes
vscode-160 Language server immediately starts after installation regardless of the activation events
vscode-174 Extension does not start in projects with non-default build files
20.1.25 (24 July 2020)
Features
vscode-113 Add support for “Signature Help”
Enhancements
vscode-153 Add support for hyperlinks in build config files
vscode-156 Ability to use hyperlinks in comments using @link and @see
vscode-159 Ability to auto-complete inside `include “…”
vscode-162 Add support for +dvt_incremental_compile_max_lines+ build config directive
Bugfixes
vscode-73 Exception triggered by revealing tree item in Compiled Files View after build
vscode-151 +dvt_preprocess_translate_pragmas directive is not taken into account at full build
vscode-158 Auto-complete is working only in build configuration files with .build extension
vscode-161 Waivers generated by +dvt_auto_snps_vip_waivers build config directive are not applied
20.1.24 (17 July 2020)
Enhancements
vscode-144 Added preference to automatically refresh Outline and References when Content Filters change
vscode-147 Ability to use user defined Content Filters (using content_filters.xml)
Bugfixes
vscode-5 Selecting inactive code doesn’t change the code background color
vscode-6 Inactive code background doesn’t appear in second view when editor is split vertically
20.1.23 (9 July 2020)
Enhancements
vscode-114 Ability to toggle Content Filters from Command Palette
vscode-150 Ability to switch between build configurations from Command Palette
Bugfixes
vscode-4 Autocomplete should not give proposals when triggered inside comments
20.1.22 (8 July 2020)
Enhancements
vscode-7 Ability to use hyperlinks on included files
20.1.21 (2 July 2020)
Features
vscode-121 Ability to apply Content Filters on Problems
Bugfixes
vscode-142 Types with children are not displayed in the Workspace Symbols
20.1.20 (25 June 2020)
Features
vscode-96 Add support for Workspace Symbols
Enhancements
vscode-95 Ability to apply Content Filters on Outline View and Workspace Symbols
Bugfixes
vscode-107 Outline View sometimes becomes empty while editing a file
20.1.19 (18 June 2020)
Bugfixes
vscode-84 Selecting a namespace element from autocomplete proposals list makes no change in file
vscode-103 Cancel incremental build in progress for files compiled out of scope when closing them
20.1.17 (16 June 2020)
Enhancements
vscode-92 Compile files out of scope when opening them
vscode-104 Auto-config projects that don’t contain a default.build
Bugfixes
vscode-102 Compiled Files view is sometimes out of sync when expanding it
20.1.16 (12 June 2020)
Bugfixes
vscode-101 Wrong text edit for autocomplete proposals with replacement string containing $ character
20.1.15 (4 June 2020)
Features
vscode-48 Add support for document symbols
Bugfixes
vscode-61 Wrong content of document model when opening unsaved files in VS Code
vscode-99 Compiled Files View is not cleared when no file is compiled during build
vscode-100 NoSuchFieldException when triggering autocomplete in default.build
20.1.14 (28 May 2020)
Enhancements
vscode-88 Check that DVT VS Code extension version is identical to DVT LS version
Bugfixes
vscode-69 Wrong diagnostics for files compiled out-of-scope
vscode-81 Wrong hyperlink for instances
vscode-83 Incremental build triggered by inactivity time is performed only for the last edited file
vscode-85 CamelCase autocomplete does not work with macros
vscode-86 Hyperlinks don’t work imediatly after opening large files
vscode-87 Wrong priority for autocomplete proposals starting with prefix
vscode-89 Open declaration of an argument from extern implementation jumps to prototype
vscode-90 Wrong “Starting rebuild…” message in status bar and “Build in progress” notification when triggering a rebuild after the language server failed to start
vscode-91 Autocomplete not working correctly after pressing enter at the end of a sequential block
20.1.12 (21 May 2020)
Enhancements
vscode-8 Add support for autocomplete in default.build
vscode-10 Incremental build should update errors in default.build
vscode-78 Variable placeholders when inserting functions with arguments from autocomplete proposals
Bugfixes
vscode-79 NullPointerException when triggering hyperlink on element with definition in another file
20.1.11 (15 May 2020)
Features
vscode-47 Add support for references
Enhancements
vscode-65 Disable editor.quickSuggestions for System Verilog files
Bugfixes
vscode-33 Auto-expand Compiled Files View after the full build is finished
vscode-66 Autocomplete proposals are not updated correctly while typing
vscode-74 Reveal files in Compiled Files View only when it is expanded
vscode-76 Wrong hyperlink for elements defined inside unsaved files
20.1.10 (11 May 2020)
Bugfixes
vscode-40 Missing proposals in VSCode GUI
vscode-42 Missing return type in proposals labels
vscode-72 Wrong autocomplete edit when replacement string does not start with the prefix
20.1.9 (30 April 2020)
Features
vscode-36 Logging console
vscode-37 Ability to trace messages between client and server
vscode-45 Code Formatting
Bugfixes
vscode-21 Diagnostics for files compiled out-of-scope are not cleared when closing the files
vscode-28 Wrong “Starting rebuild…” message in Status Bar and “Build in progress” notification when triggering rebuild without licence
20.1.7 (10 April 2020)
Bugfixes
vscode-34 Do not change selection of file in All Files View on hover on element in file
vscode-38 Open Declaration and Auto-complete not working on Windows when using absolute paths in default.build
vscode-39 Triggering Open Declaration moves focus on All Files View
20.1.5 (26 March 2020)
Features
vscode-13 Ability to display Auto-Linked files in Explorer
Bugfixes
vscode-29 Verissimo HTML Report is not opened in a webview on Windows
20.1.4 (20 March 2020)
Bugfixes
vscode-22 DVT VS Code extension does not work on Windows
20.1.1 (21 February 2020)
Initial release