DVT VHDL IDE User Guide
Rev. 24.2.24, 14 October 2024

33.16.10 Quick Query View

Press Ctrl+Alt+Q to quickly open the Quick Query View, which provides a filterable list of all the types and compiled files in the project.

This view supports semantic search, allowing to look for a specific element. In order to do this, structure your query in the following manner: query_key:search_pattern.

You can use CamelCase or Simple Regex for the search_pattern.

DVT supports the following query keys:

  • architecture

  • configuration

  • entity

  • file

  • library

  • package

  • package_body

  • type_body

  • vhdl_type

Note: You can use the type:search_pattern query in order to search for all the types defined in your project.

Select an element and press Enter or click to navigate to it.

Note: By default, the Quick Query View will display 100 items from each category (types, files). You can customize this value by going to Window > Preferences > DVT > Maximum number of elements per category in Quick Query View.