All Build Directives
Note
GLOBAL directives are effective for all invocations. They are not reset by +dvt_init
directives.
Directive |
Note |
Description |
|
In dvt and vcs.vlogan compatibility modes: enables Verilog AMS 2.3 extended syntax for Verilog/SystemVerilog files. |
|
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent with specifying <file_path> as a top file. |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
-CFLAGS -ccflags -ccargs -I -D -L -l -imacros -include |
GLOBAL |
Gcc arguments used by DVT to configure the CDT builder. |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
Compile under <compilation_unit_name> package; the directive is enforced until: |
|
|
xcelium.xrun Compatibility Mode-Specific |
Set the Language Syntax for Unmapped Extensions. See xcelium.xrun Compatibility Mode or ius.irun Compatibility Mode for more details regarding the <syntax> argument. |
|
Define a preprocessing symbol for SystemVerilog and e Langauge. Symbols defined using defineall are also available in C/C++. The replacement is optional. You may quote the replacement with ticks (’) or quotes (“). If defined, environment variables are expanded. |
|
|
Same as |
|
|
e Language Only |
The definition of structs/units/types declared in several Test Files is considered to be the one in the Active Test File. |
|
GLOBAL |
Enable automatic linking of resources located outside the project location. Default: true. |
|
GLOBAL |
Generate and load in each invocation .dvt/auto_snps_vip_macros.svh file. It contains dummy definitions for macros which are commonly used but not defined or encrypted in Synopsis VIPs. The file will be overwritten if it already exists. |
|
GLOBAL |
Generate and load .dvt/auto_snps_vip_waivers.xml file. It contains waivers for problems commonly encountered when working with encrypted Synopsis VIPs. The file will be overwritten if it already exists. |
|
GLOBAL |
Automatically close asymmetrically encrypted scopes such as missing endfunction/endtask, endclass, endpackage etc. in files matching the specified pattern. To disable automatic scope closing for particular files, use |
|
GLOBAL |
Automatically define missing API such as functions and fields referenced in files matching the specified pattern. API is defined in the closest eligible scope which has encrypted areas within its definition, for example the first class parent containing a |
|
GLOBAL |
Automatically define missing macros if the macro name matches the specified pattern. |
|
GLOBAL |
Auto-link the specified file. |
|
GLOBAL |
When Auto-Linking |
|
GLOBAL |
If set to false, an alternative mechanism is used for auto-linking files outside the project and paths won’t be serialized inside the ‘.project’ file. Requires a full build to take effect. (Experimental) |
|
SystemVerilog and VHDL only |
Use <revision_name>. |
|
GLOBAL |
Enables printing of debug information. Use |
|
SystemVerilog and VHDL only |
Ignore Quartus project configuration files and fallback to default auto-config. |
|
SystemVerilog and VHDL only |
Disables auto-config from Xilinx ISE/Vivado project. Fallback to default auto-config. |
|
SystemVerilog and VHDL only |
Auto-config from ISE project using the <xise_file_name> file. |
|
SystemVerilog and VHDL only |
For debugging purposes. Use <script_file_path> to analyze Quartus project configuration files. |
|
Interrupt build if project autoconfiguration takes more than the specified threshold (in seconds). Set 0 to disable timeout. Default: 40 seconds. |
|
|
GLOBAL |
Auto-config scan will descend into symlinks, up to the specified max number of nested symlinks. Set 0 to not descend into symlinks. |
|
SystemVerilog and VHDL only |
Auto-config from Vivado project using the <fileset_name> fileset. |
|
SystemVerilog and VHDL only |
Specify a regular expressions pattern to be searched in the simulator log. Must contain a capturing group with the name ‘args’. The string matched by this group will be copied to the resulting |
|
SystemVerilog and VHDL only |
Specify the maximum size of the buffer that will be read from the simulator log file, in MB. |
|
SystemVerilog and VHDL only |
Interrupt build if project configuration from simulator log takes more than the specified threshold (in seconds), by default after 10 seconds. Set 0 to disable timeout. |
|
SystemVerilog and VHDL only |
Specify if the entire simulator log file should be scanned and all invocations should be extracted. |
|
GLOBAL |
Specify the location of the internal builder log file. Default: ./ (Project location). |
|
GLOBAL |
Enable/disable internal builder logging to console. Default: true. |
|
GLOBAL |
Enable/disable internal builder logging to file. Default: true. |
|
SystemVerilog and VHDL Only |
Specify a CPF file for compilation. |
|
C/C++ only |
By default DVT automatically configures top files as C++ source files and included files as C++ header files. |
|
C/C++ only |
Specify the CDT file type for a filename or file extension. |
|
Specify the compilation root. Relative paths specified in default.build will be resolved as relative to this location, except for the special cases that rise when Including Other Argument Files. |
|
|
By default, all source files in the compilation root directory are scanned, recursively. Use |
|
|
GLOBAL |
Specify a compile waivers file. |
|
GLOBAL |
Save the project database files under: |
|
GLOBAL |
Control the context in which USAGE_BEFORE_DECLARATION errors are being reported. As a general rule, files compiled with wildcards or auto-configured via |
|
SystemVerilog Only |
Define System Verilog system functions with the provided names. |
|
SystemVerilog Only |
Define System Verilog system tasks with the provided names. |
|
GLOBAL SystemVerilog Only |
Disable lexing-parsing parallelization. |
|
GLOBAL |
Disable DVT preprocessing optimizations. Default: false. |
|
GLOBAL |
Disable the RTL specific semantic checks: SENSITIVITY_MISSING/SENSITIVITY_UNUSED and SIGNAL_NEVER_READ/SIGNAL_NEVER_WRITTEN/SIGNAL_NEVER_USED. By default the checks are enabled. |
|
GLOBAL |
Disable a set of semantic checks by ID.For example: |
|
GLOBAL |
Compile the UVM package in every invocation which specifies |
|
GLOBAL |
Disable naming convention checks. Default value: false |
|
GLOBAL |
Disable compilation of non-top files. Non-top files are files which are not part of the full compilation. Default value: false |
|
GLOBAL |
Enable/disable non-standard syntax and semantic checks. Default: false. |
|
GLOBAL |
Enable/disable strict non-standard checking. Default: false. |
|
GLOBAL |
During incremental build, semantic checks are performed in the changed file and in all files that depend on it. Use this directive to skip analysis of dependent files that match the specified pattern. Use multiple times to specify multiple patterns. A pattern can use the wildcards * (any character sequence) and ? (any character). |
|
GLOBAL |
Use the following set of search paths to locate VIPs instead of sn_which.sh:/
<IUS Install Location>/specman/linux/ <IUS Install Location>/specman/src/ <IUS Install Location>/specman/docs/ <IUS Install Location>/specman/tcl/specman/ <IUS Install Location>/specman/linux/ <IUS Install Location>/specman/src/ <IUS Install Location>/specman/docs/ <IUS Install Location>/specman/tcl/specman/ <IUS Install Location>/specman/erm_lib/ <IUS Install Location>/specman/sn_lib/ <IUS Install Location>/specman/packages/ <IUS Install Location>/specman/uvm/uvm_lib/ <IUS Install Location>/specman/ovm/ovm_lib/ <IUS Install Location>/specman/erm_lib/ <IUS Install Location>/specman/sn_lib/ <IUS Install Location>/specman/packages/ <IUS Install Location>/specman/uvm/uvm_lib/ <IUS Install Location>/specman/ovm/ovm_lib/ |
|
GLOBAL |
If true, the <exp> match expression will match only valid expressions. If false, <exp> is equivalent with the <any> match expression that matches any non-empty sequence of characters. Default: false. |
|
GLOBAL |
If true, the parser will reject a user defined expression match if the result of the macro reparse is not a valid expression. Default: true. |
|
GLOBAL |
Automatically extract and define the Specman version defines. Default: true. |
|
GLOBAL |
By default, the following VIPs are located using sn_which.sh, and their locations are added to the $SPECMAN_PATH: evc_util, vr_ad, uvm_e. Use |
|
e Language Only |
Specify a verification top unit. Subtype and package name are optional. Quotes are mandatory when specifying a subtype. Multiple top units may be specified. |
|
GLOBAL |
Open files matching <pattern> with specified <editor>. This directive overrides Eclipse editor association preferences. The <editor> is either one of (SV, VHDL, E, SLN, PSS, SDL, BC, CPP, BASH, JAVA, LUA, PERL, PYTHON, RUBY, TCL), the full path to an executable, the name of executable found in $PATH, or a valid Eclipse editor ID. The <pattern> is matched against the file’s full path and can use ‘*’ (any char sequence) and ‘?’ (any character) wildcards. |
|
GLOBAL |
Configure the type of elaboration performed after an incremental build. |
|
GLOBAL |
Enable elaboration of a top module without any local instances or generate blocks. Default: false. |
|
GLOBAL |
Skip elaboration of an instance whose design name matches the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip elaboration of an instance whose design name does not match the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip elaboration of an instance whose design file absolute path matches the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip elaboration of an instance whose design file absolute path does not match the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip elaboration of an instance whose hierarchical path matches the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip elaboration of an instance whose hierarchical path does not match the specified <simple_pattern>. Wildcards such as ‘*’ (any string) and ‘?’ (any character) can be used in the pattern. Instance port connections and parameter overrides are checked but sub-instances are not elaborated. Skipped designs are considered unelaborated. Elaboration tops cannot be skipped. |
|
GLOBAL |
Skip defparam assignments during elaboration. Default: false. |
|
GLOBAL |
Filter width mismatch warnings in the following cases: right-hand side assignment operators, UVM/OVM field automation macros, ‘uvm_bitstream_t’ operands, truncation and padding safe decimal numbers. Default: true. |
|
GLOBAL |
Disable or change steps in the elaboration. |
|
GLOBAL |
Configure the maximum recurrence depth for instances under generate blocks. Default: 5. |
|
GLOBAL |
Configure the maximum number of passes allowed when resolving bind directives. Default: 5. |
|
GLOBAL |
Configure the cut-off number for elaborated loop generate blocks. Default: 200. |
|
GLOBAL |
Configure the cut-off number for elaborated function loop statements. Default: 1000. |
|
GLOBAL |
When solving references to modules or entities which are not defined in the current library, DVT tries to resolve them in other compiled libraries according to: |
|
GLOBAL |
In order to speed-up full compilation, you can choose to restrict functionality and checking in the unelaborated part of the design. |
|
GLOBAL |
Disable unelaborated package constants evaluation and checking. Default: false. |
|
GLOBAL |
Overrides the parameter ‘parameter_name’ at hierarchy path ‘top_module.sub_instance1…sub_instanceN’ with ‘override_value’. |
|
GLOBAL |
Trigger warnings for unknown build directives. Default: false. |
|
Files with <ext> extension are parsed using the specified <syntax>. See Default DVT Compatibility Mode for more details regarding <syntax>. |
|
|
Files with <ext> extension are parsed using the Language Syntax for Unmapped Extensions. |
|
|
All files are parsed using the Language Syntax for Unmapped Extensions. |
|
|
Set the Language Syntax for Unmapped Extensions. See Default DVT Compatibility Mode for more details regarding <syntax>. |
|
|
GLOBAL |
Extract comments above elements. Default: true. |
|
GLOBAL |
Extract comment if located at no more than specified number of empty lines above element declaration. Default: 1. |
|
GLOBAL |
Extract /** begin comment delimiter comments. Default: true. |
|
GLOBAL |
Extract file header comments and associate them with the first element in file (module, entity etc.). Default: false. |
|
GLOBAL |
Exctract comments inline with elements. Default: true. |
|
GLOBAL |
Extract /* multi line comments. Default: true. |
|
GLOBAL |
Extract // single line comments. Default: true. |
|
GLOBAL |
During full compilation, skip parsing the entire invocation if a file takes more than the specified threshold (in seconds). Set 0 to disable timeout. Default: 40 seconds. |
|
GLOBAL |
During compilation, the <file_path> file will be substituted with the <substitute_file_path> file. Works both for source files and included build configuration files. |
|
GLOBAL |
In order to speed-up full compilation, you may chose to fully check only a relevant subset of your source code. This directive controls the scope of the full build checks: |
|
GLOBAL |
Specify location of GCC executable (used when scanning for included C/C++ files and injected in the CDT project configuration). |
|
GLOBAL |
Specify extra arguments for the GCC executable (used when scanning for included C/C++ files and injected in the CDT project configuration). |
|
GLOBAL |
Timeout in seconds when running GCC. Set 0 to disable timeout. Default: 40 seconds. |
|
GLOBAL |
Hide duplicates from Types, Checks and Coverage Views. |
|
GLOBAL |
In order to speed-up incremental compilation, you may chose to turn off advanced checking. Note if +dvt_full_compile_checks is set to OFF this flag has no effect. |
|
GLOBAL |
Files with more than max lines will not be incrementally compiled. Set 0 for infinite limit. Default: 15000. |
|
GLOBAL |
During incremental compilation, skip the file if parsing or semantic checking takes more than the specified threshold (in seconds). When incremental build is performed on multiple files, the semantic checking timeout is increased proportionally (1 second for every 2 files). Set 0 to disable timeout. Default: 4 seconds. |
|
Equivalent of a new invocation, resets all directives except for the GLOBAL ones. See Compatibility Modes for a detailed description. |
|
|
Automatically identify and compile all the source files in the compilation root. The compilation root defaults to the project directory and can be changed using |
|
|
Compile the specified libraries from the $QUARTUS_ROOTDIR installation. Similar with |
|
|
Compile the specified libraries from the $DVT_XILINX_HOME installation. Similar with |
|
|
Compile using arguments extracted from the provided simulator log file.The simulator which generated the logfile is detected from its content, unless a compatibility mode is specified: ius.irun, xcelium.xrun, vcs.vlogan, vcs.vhdlan, questa.vsim and questa.vcom. See Simulator Log-config for a detailed description. |
|
|
Compile the specified libraries from the |
|
|
Compile the UVVM sources. Similar with |
|
|
Compile the UVVM_VVC sources. It should be used for every VVC. Similar with |
|
|
Compile the OSVVM sources. Similar with |
|
|
SystemVerilog Only |
Load a precompiled library. Similar with |
|
GLOBAL |
Configure the maximum number of threads to use during different phases of intensive computation (e.g. semantic checking, etc.). Default: 8 |
|
GLOBAL |
Specify additional search locations for the NaturalDocs and JavaDoc link tags. |
|
Timeout in seconds when scanning path patterns (like for example /**/*.v). Default: 5. |
|
|
Timeout in seconds when sorting files specified using path pattern wildcards. Default: 5. |
|
|
SystemVerilog and VHDL Only |
Print debug information during power format build phase. |
|
GLOBAL |
You can use a Note The +dvt_prepend_init directive must be in the same file as the next +dvt_init directive. |
|
SystemVerilog Only |
Instructs DVT to skip analyzing the code between pragmas such as Note In VHDL, the code background will be highlighted, but it will still be analyzed. |
|
SystemVerilog and VHDL Only |
Instruct DVT to collect thread dumps for specific named actions that the tool performs. The interval between thread dumps can also be specified as the last argument of the directive, in milliseconds. If not specified, the interval defaults to 500ms. |
|
SystemVerilog and VHDL Only |
Instruct DVT to collect thread dumps if incremental compilation takes more than <threshold> milliseconds. The interval between thread dumps is <interval> milliseconds. If not specified, the interval defaults to 500ms, and the threshold to 2 seconds. |
|
SystemVerilog, VHDL and PSS Only |
Collect thread dumps during parsing for file full paths that match the specified pattern. Use |
|
Add $PSS_CPP_HOME/include/pss.h as topfile and $PSS_CPP_HOME/include as C include dir. Falls back to |
|
|
GLOBAL |
Infer mapping from generated files, assuming they contain a comment pointing to the corresponding PVerilog source file. Specify a regular expression pattern containing a named capturing group called PFILE. The pattern is applied to all comments at full build time. For example: |
|
GLOBAL |
Turn on debugging for |
|
GLOBAL |
Enable all the advanced navigation and editing features for the pure Verilog/SystemVerilog code from the PVerilog files. |
|
GLOBAL |
Map extensions of PVerilog files to extensions of generated Verilog files. |
|
GLOBAL |
Map a PVerilog file to the generated Verilog file. |
|
GLOBAL |
Map root path of PVerilog files to root path of generated Verilog files. |
|
GLOBAL |
Map a pattern of PVerilog files to another pattern of generated Verilog files. |
|
GLOBAL |
Specify the name of the run configuration to be executed when you save the PVerilog file in the PVerilog editor [p] or [c] tabs. |
|
GLOBAL |
By default, in order to find the suitable PVerilog files for |
|
GLOBAL |
Specify when CDT Codan analysis should be performed: FULL - at full build, INCR - at incremental build (for example when saving a file). By default Codan is disabled. |
|
GLOBAL |
When encountering -directive_name, the following nof_args tokens are considered arguments of the directive. |
|
GLOBAL |
Popup semantic checking dialog asking to continue or stop when full compilation semantic checking takes more than the specified timeout (in seconds). Default value: 30. |
|
Define an environment variable. The value is optional. Its value is visible for subsequent directives and during parsing. The environment variable is cleared by the next |
|
|
Define an environment variable. The value is optional. Its value is visible for subsequent directives and during parsing. The environment variable is cleared by the next |
|
|
Instructs DVT to skip analyzing the files whose absolute path matches the specified <simple_pattern>. In a simple pattern you can use wildcards such as ‘*’ (any string) and ‘?’ (any character). Such skipped files are decorated distinctively in the Navigator View / Explorer View: DVT for VS Code: See also Compilation Speed-up. |
|
|
Instructs DVT to skip analyzing the files whose absolute path does not match the specified <simple_pattern>. In a simple pattern you can use wildcards such as ‘*’ (any string) and ‘?’ (any character). Such skipped files are decorated distinctively in the Navigator View / Explorer View: DVT for VS Code: See also Compilation Speed-up. |
|
|
Instructs DVT to skip analyzing the files whose absolute path matches the specified <regex_pattern>. Such skipped files are decorated distinctively in the Navigator View / Explorer View: DVT for VS Code: See also Compilation Speed-up. |
|
|
Instructs DVT to skip analyzing the files whose absolute path does not match the specified <regex_pattern>. Such skipped files are decorated distinctively in the Navigator View / Explorer View: DVT for VS Code: See also Compilation Speed-up. |
|
|
Instructs DVT to shallow compile the files whose absolute path matches the specified <simple_pattern>. In a simple pattern you can use wildcards such as ‘*’ (any string) and ‘?’ (any character). Such files are marked with [S] in the Compile Order View and other views. In shallow compiled code, only parameters and ports are collected from modules and only arguments are collected from functions and tasks. See also Compilation Speed-up. |
|
|
Instructs DVT to shallow compile the files whose absolute path does not match the specified <simple_pattern>. In a simple pattern you can use wildcards such as ‘*’ (any string) and ‘?’ (any character). Such files are marked with [S] in the Compile Order View and other views. In shallow compiled code, only parameters and ports are collected from modules and only arguments are collected from functions and tasks. See also Compilation Speed-up. |
|
|
Instructs DVT to shallow compile the files whose absolute path matches the specified <regex_pattern>. Such files are marked with [S] in the Compile Order View and other views. In shallow compiled code, only parameters and ports are collected from modules and only arguments are collected from functions and tasks. See also Compilation Speed-up. |
|
|
Instructs DVT to shallow compile the files whose absolute path does not match the specified <regex_pattern>. Such files are marked with [S] in the Compile Order View and other views. In shallow compiled code, only parameters and ports are collected from modules and only arguments are collected from functions and tasks. See also Compilation Speed-up. |
|
|
Instructs DVT to shallow compile all elements definitions that match the given mode. In shallow compiled code, only parameters and ports are collected from modules and only arguments are collected from functions and tasks. Available modes are: ALL_MODULES, ALL_FUNCTIONS, ALL_TASKS. See also Compilation Speed-up. |
|
|
SystemVerilog Only |
Instructs DVT to save the dictionary as a precompiled database in the specified directory. See also Precompilation Support. |
|
SystemVerilog Only |
Specify the directory where the precompiled database should be loaded from. See also Precompilation Support. |
|
SystemVerilog Only |
Specify the build command to use when re-build of the precompiled database is required (DVT version change, precompiled files changed, etc.). See also Precompilation Support. |
|
SystemVerilog Only |
Disables MD5 checksum change detection for precompiled files. See also Precompilation Support. |
|
Skip subsequent directives matching the specified pattern. You can specify this directive multiple times. A pattern can use the wildcards * (any character sequence) and ? (any character). The directive has effect for all subsequent directives in the same invocation. Note Does not apply to the +dvt_init directive. Note Any whitespace within directives is compacted to a single space character. |
|
|
Do not parse top files with <ext> extension. The dot (.) for specifying <ext> is optional. For example |
|
|
GLOBAL |
Do not analyze code enclosed in |
|
Add $SYSTEMC_HOME/src/systemc.h as topfile and $SYSTEMC_HOME/src as C include dir. Falls back to |
|
|
e Language Only |
Specify a top file and mark it as test. For example, the e Language test files have a special status.. |
|
SystemVerilog and VHDL Only |
Specify a UPF file for compilation. |
|
SystemVerilog and VHDL Only |
Compile HDL UPF packages for the specified version. Available versions are: 3.0 and 2.2. Default value: 3.0 |
|
SystemVerilog Only |
Undefines <DEFINE_NAME> preprocessing symbol. Equivalent with |
|
GLOBAL |
Specify additional file extensions for the WaveDrom plugin. |
|
GLOBAL |
Clear the extensions list for the WaveDrom plugin. |
|
GLOBAL |
Specify additional search file locations for the WaveDrom plugin. |
|
GLOBAL |
Clear the search file locations list for the WaveDrom plugin. |
|
SystemVerilog Only |
Enables wreal extended syntax for Verilog/SystemVerilog files. |
|
vcs.vlogan Compatibility Mode-Specific |
The included files are parsed using the syntax as specified by directives, that is using by ext syntax (if explicit) or the syntax for unmapped extensions. It overrides the default behavior. |
|
SystemVerilog Only |
Indicate search directories for files included with `include preprocessing directive. |
|
SystemVerilog Only |
Specify accepted extensions for files in the library directories. Extensions must include the ‘.’ dot character. Note There are no default extensions, .v and .sv don’t have a special status. |
|
vcs.vlogan Compatibility Mode-Specific |
Specify the library search order for Verilog packages. |
|
Specify the Verilog library map file. |
|
|
When DVT finds an unresolved module reference in a library file or directory, it will scan for the unresolved reference starting from the first specified library; by default (librescan not specified) it starts scanning from the library that introduced the unresolved reference and continues using the specified libraries order. |
|
|
xcelium.xrun Compatibility Mode-Specific |
Specify a UPF or CPF power format file for compilation. |
|
xcelium.xrun Compatibility Mode-Specific |
Compiles files specified inside a - makelib … - endlib section into the <lib_name> library. Files in makelib sections are compiled before files in the enclosing invocation. Directives in the makelib section only apply to the makelib section files. Directives in the enclosing invocation apply to all files in the invocation. The - work directive is ignored within a makelib section. |
|
GLOBAL SystemVerilog Only |
Specify a design top module or configuration name. You can specify multiple tops by using this directive multiple times. |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
In dvt and vcs.vlogan Compatibility Modes it is equivalent with |
|
|
xcelium.xrun Compatibility Mode-Specific |
Load the OVM / UVM library from the specified <path>. See xcelium.xrun Compatibility Mode, ius.irun Compatibility Mode or questa.qrun Compatibility Mode for more details. |
|
xcelium.xrun Compatibility Mode-Specific |
Load the UVM_ML library from the IUS installation location. |
|
xcelium.xrun Compatibility Mode-Specific |
Load the UVM extension files from the specified <path>. See xcelium.xrun Compatibility Mode, ius.irun Compatibility Mode or questa.qrun Compatibility Mode for more details. |
|
questa.vlog, questa.vcom and questa.qrun |
Specify a UPF power format file for compilation. |
|
xcelium.xrun Compatibility Mode-Specific |
Specify the library search order for Verilog packages. You can specify multiple libraries by using this option multiple times. |
|
questa.qrun Compatibility Mode-Specific |
Specify the library search order for Verilog packages. You can specify multiple libraries by using this option multiple times. |
|
vcs.vlogan Compatibility Mode-Specific |
Enables wreal extended syntax for Verilog/SystemVerilog files. |
|
Equivalent to |
|
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
xcelium.xrun Compatibility Mode-Specific |
All files that would be parsed according to the File Extension to Language Syntax Mapping or Language Syntax for Unmapped Extensions with a Verilog syntax flavor will be parsed with SystemVerilog 2012 instead. Has precedence over |
|
GLOBAL |
Specify a shared object C/C++ library. The <file_path> should be specified without the .so extension. Provided that the library contains debug info, DVT will Auto-Link the C/C++ source files from which the library was compiled. The .so extension is automatically appended to the specified path. Note If <file_path>.so is not found, the tool will try to locate and load <file_path> instead. |
|
GLOBAL |
Specify a shared object bootstrap file. The file contains a list of shared object C/C++ library paths, one per line. For each library in the bootstrap file, provided that the library contains debug info, DVT will Auto-Link the C/C++ source files from which the library was compiled. The .so extension is automatically appended to the paths specified in the bootstrap file. |
|
GLOBAL |
The root directory path is prepended to any relative path that will be specified following this directive, using either |
|
vcs.vlogan Compatibility Mode-Specific |
Sets the syntax for unmapped extensions to SystemVerilog. This directive has precedence over |
|
xcelium.xrun Compatibility Mode-Specific |
All Verilog/SystemVerilog files will be parsed with SystemVerilog AMS. Has precedence over other syntax specifications. |
|
xcelium.xrun Compatibility Mode-Specific |
Files with <ext> extension will be parsed using the specified <syntax>. If the optional + is specified, the mapping will be added to the default File Extension to Language Syntax Mapping. Otherwise, the default mapping of the specified <syntax> is overridden. If you specify the override directive multiple times for the same <syntax>, the default File Extension to Language Syntax Mapping will be overridden only the first time. You can specify more extensions at once, comma-separated, for example - vlog_ext .svh,.svp. The dot (.) for specifying <ext> is mandatory. |
|
vcs.vlogan Compatibility Mode-Specific |
All files with <ext> extension are parsed using the SystemVerilog syntax. |
|
GLOBAL |
Specify a design top module or configuration name. You can specify multiple tops either by using the directive multiple times or by specifying multiple top names separated by the ‘+’ character or a combination thereof. |
|
GLOBAL |
The name of the UVM test which will be automatically created under uvm_root. |
|
vcs.vlogan and vcs.vhdlan Compatibility Mode-Specific |
Specify a UPF power format file for compilation. |
|
Specify a Verilog library file. |
|
|
xcelium.xrun Compatibility Mode-Specific ius.irun Compatibility Mode-Specific |
All files that would be parsed according to the File Extension to Language Syntax Mapping or Language Syntax for Unmapped Extensions with Verilog 2001 will be parsed instead with a reduced keywordset variant of Verilog 2001. The reduced keywordset does not contain the keywords automatic, localparam, generate, endgenerate, and genvar. |
|
xcelium.xrun Compatibility Mode-Specific |
All files that would be parsed according to the File Extension to Language Syntax Mapping or Language Syntax for Unmapped Extensions with a VHDL syntax flavor (but not VHDL AMS) will be parsed with VHDL 2008 instead. Has precedence over |
|
xcelium.xrun Compatibility Mode-Specific |
All files that would be parsed according to the File Extension to Language Syntax Mapping or Language Syntax for Unmapped Extensions with a VHDL syntax flavor (but not VHDL AMS) will be parsed with VHDL 93 instead. |
|
vcs.vlogan Compatibility Mode-Specific |
Sets the syntax for unmapped extensions to Verilog 2001. |
|
vcs.vlogan Compatibility Mode-Specific |
All files with <ext> extension are parsed using the Verilog 1995 syntax. |
|
vcs.vlogan Compatibility Mode-Specific |
All files with <ext> extension are parsed using the Verilog 2001 syntax. |
|
vcs.vhdlan Compatibility Mode-Specific |
Sets the syntax for unmapped extensions to VHDL 1076-1987. |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
xcelium.xrun Compatibility Mode-Specific |
Equivalent to |
|
vcs.vhdlan Compatibility Mode-Specific |
Compile intro library <lib>. |
|
Compile into library <lib>. |
|
|
vcs.vlogan Compatibility Mode-Specific |
Enables wreal extended syntax for Verilog/SystemVerilog files. |
|
Specify a Verilog library directory. |