Universal Verification Methodology 1.2 API Specification
OVERVIEW DOCUMENTATION
Introduction
Base
Class uvm_void
Class uvm_object
Constructors
Functions
Class uvm_transaction
Constructors
Functions
Class uvm_root
Functions
Tasks
Class uvm_port_base
Constructors
Functions
Reporting
Class uvm_report_message
Constructors
Functions
Class uvm_report_object
Constructors
Functions
Class uvm_report_handler
Constructors
Functions
Class uvm_report_server
Constructors
Functions
Class uvm_report_catcher
Constructors
Enums
Functions
Recording
Class uvm_tr_database
Constructors
Functions
Class uvm_tr_stream
Constructors
Functions
Factory
Phasing
Configuration and Resources
Synchronization
Containers
TLM Interfaces
TLM1
TLM2
Sequencer Port
Analysis
TLM1
TLM2
Sequencers
Sequence Item Ports
Sequence
Register Layer
Command Line Processor
API DOCUMENTATION
Packages
Package uvm_pkg
Classes
Class get_t
Class sev_id_struct
Class uvm_agent
Constructors
Functions
Class uvm_algorithmic_comparator
Constructors
Functions
Class uvm_analysis_export
Constructors
Functions
Class uvm_analysis_imp
Constructors
Functions
Class uvm_analysis_port
Constructors
Functions
Class uvm_barrier
Constructors
Functions
Tasks
Class uvm_bit_rsrc
Constructors
Functions
Class uvm_blocking_get_export
Constructors
Class uvm_blocking_get_imp
Constructors
Class uvm_blocking_get_peek_export
Constructors
Class uvm_blocking_get_peek_imp
Constructors
Class uvm_blocking_get_peek_port
Constructors
Class uvm_blocking_get_port
Constructors
Class uvm_blocking_master_export
Constructors
Class uvm_blocking_master_imp
Constructors
Class uvm_blocking_master_port
Constructors
Class uvm_blocking_peek_export
Constructors
Class uvm_blocking_peek_imp
Constructors
Class uvm_blocking_peek_port
Constructors
Class uvm_blocking_put_export
Constructors
Class uvm_blocking_put_imp
Constructors
Class uvm_blocking_put_port
Constructors
Class uvm_blocking_slave_export
Constructors
Class uvm_blocking_slave_imp
Constructors
Class uvm_blocking_slave_port
Constructors
Class uvm_blocking_transport_export
Constructors
Class uvm_blocking_transport_imp
Constructors
Class uvm_blocking_transport_port
Constructors
Class uvm_bottom_up_visitor_adapter
Constructors
Functions
Class uvm_bottomup_phase
Constructors
Functions
Class uvm_build_phase
Functions
Class uvm_built_in_clone
Functions
Class uvm_built_in_comp
Functions
Class uvm_built_in_converter
Functions
Class uvm_built_in_pair
Constructors
Functions
Class uvm_by_level_visitor_adapter
Constructors
Functions
Class uvm_byte_rsrc
Constructors
Functions
Class uvm_callback
Constructors
Functions
Class uvm_callback_iter
Constructors
Functions
Class uvm_callbacks
Functions
Class uvm_callbacks_base
Functions
Class uvm_cause_effect_link
Constructors
Functions
Class uvm_check_phase
Functions
Class uvm_class_clone
Functions
Class uvm_class_comp
Functions
Class uvm_class_converter
Functions
Class uvm_class_pair
Constructors
Functions
Class uvm_cmd_line_verb
Class uvm_cmdline_processor
Constructors
Functions
Class uvm_comparer
Functions
Class uvm_component
Constructors
Functions
Tasks
Class uvm_component_name_check_visitor
Constructors
Functions
Class uvm_component_proxy
Constructors
Functions
Class uvm_component_registry
Functions
Class uvm_config_db
Functions
Tasks
Class uvm_config_db_options
Functions
Class uvm_config_object_wrapper
Class uvm_configure_phase
Functions
Tasks
Class uvm_connect_phase
Functions
Class uvm_coreservice_t
Functions
Class uvm_default_coreservice_t
Functions
Class uvm_default_factory
Functions
Class uvm_default_report_server
Constructors
Functions
Class uvm_derived_callbacks
Functions
Class uvm_domain
Constructors
Functions
Class uvm_driver
Constructors
Functions
Class uvm_end_of_elaboration_phase
Functions
Class uvm_enum_wrapper
Functions
Class uvm_env
Constructors
Functions
Class uvm_event
Constructors
Functions
Tasks
Class uvm_event_base
Constructors
Functions
Tasks
Class uvm_event_callback
Constructors
Functions
Class uvm_exhaustive_sequence
Constructors
Functions
Tasks
Class uvm_extract_phase
Functions
Class uvm_factory
Functions
Class uvm_factory_override
Constructors
Class uvm_factory_queue_class
Class uvm_final_phase
Functions
Class uvm_get_export
Constructors
Class uvm_get_imp
Constructors
Class uvm_get_peek_export
Constructors
Class uvm_get_peek_imp
Constructors
Class uvm_get_peek_port
Constructors
Class uvm_get_port
Constructors
Class uvm_get_to_lock_dap
Constructors
Functions
Class uvm_hdl_path_concat
Functions
Class uvm_heartbeat
Constructors
Functions
Class uvm_heartbeat_callback
Constructors
Functions
Class uvm_in_order_built_in_comparator
Constructors
Functions
Class uvm_in_order_class_comparator
Constructors
Functions
Class uvm_in_order_comparator
Constructors
Functions
Tasks
Class uvm_int_rsrc
Constructors
Functions
Class uvm_line_printer
Constructors
Class uvm_link_base
Constructors
Functions
Class uvm_main_phase
Functions
Tasks
Class uvm_master_export
Constructors
Class uvm_master_imp
Constructors
Class uvm_master_port
Constructors
Class uvm_mem
Constructors
Enums
Functions
Tasks
Class uvm_mem_access_seq
Constructors
Tasks
Class uvm_mem_mam
Constructors
Enums
Functions
Class uvm_mem_mam_cfg
Class uvm_mem_mam_policy
Class uvm_mem_region
Constructors
Functions
Tasks
Class uvm_mem_shared_access_seq
Constructors
Tasks
Class uvm_mem_single_access_seq
Constructors
Tasks
Class uvm_mem_single_walk_seq
Constructors
Tasks
Class uvm_mem_walk_seq
Constructors
Tasks
Class uvm_monitor
Constructors
Functions
Class uvm_nonblocking_get_export
Constructors
Class uvm_nonblocking_get_imp
Constructors
Class uvm_nonblocking_get_peek_export
Constructors
Class uvm_nonblocking_get_peek_imp
Constructors
Class uvm_nonblocking_get_peek_port
Constructors
Class uvm_nonblocking_get_port
Constructors
Class uvm_nonblocking_master_export
Constructors
Class uvm_nonblocking_master_imp
Constructors
Class uvm_nonblocking_master_port
Constructors
Class uvm_nonblocking_peek_export
Constructors
Class uvm_nonblocking_peek_imp
Constructors
Class uvm_nonblocking_peek_port
Constructors
Class uvm_nonblocking_put_export
Constructors
Class uvm_nonblocking_put_imp
Constructors
Class uvm_nonblocking_put_port
Constructors
Class uvm_nonblocking_slave_export
Constructors
Class uvm_nonblocking_slave_imp
Constructors
Class uvm_nonblocking_slave_port
Constructors
Class uvm_nonblocking_transport_export
Constructors
Class uvm_nonblocking_transport_imp
Constructors
Class uvm_nonblocking_transport_port
Constructors
Class uvm_obj_rsrc
Constructors
Class uvm_object
Constructors
Functions
Class uvm_object_registry
Functions
Class uvm_object_string_pool
Constructors
Functions
Class uvm_object_wrapper
Functions
Class uvm_objection
Constructors
Functions
Tasks
Class uvm_objection_callback
Constructors
Functions
Tasks
Class uvm_objection_context_object
Functions
Class uvm_objection_events
Events
Class uvm_packer
Functions
Class uvm_parent_child_link
Constructors
Functions
Class uvm_peek_export
Constructors
Class uvm_peek_imp
Constructors
Class uvm_peek_port
Constructors
Class uvm_phase
Constructors
Functions
Tasks
Class uvm_phase_cb
Constructors
Functions
Class uvm_phase_state_change
Constructors
Functions
Class uvm_pool
Constructors
Functions
Class uvm_port_base
Constructors
Functions
Class uvm_port_component
Constructors
Functions
Class uvm_port_component_base
Constructors
Functions
Tasks
Class uvm_post_configure_phase
Functions
Tasks
Class uvm_post_main_phase
Functions
Tasks
Class uvm_post_reset_phase
Functions
Tasks
Class uvm_post_shutdown_phase
Functions
Tasks
Class uvm_pre_configure_phase
Functions
Tasks
Class uvm_pre_main_phase
Functions
Tasks
Class uvm_pre_reset_phase
Functions
Tasks
Class uvm_pre_shutdown_phase
Functions
Tasks
Class uvm_predict_s
Class uvm_printer
Functions
Class uvm_printer_knobs
Functions
Class uvm_push_driver
Constructors
Functions
Tasks
Class uvm_push_sequencer
Constructors
Tasks
Class uvm_put_export
Constructors
Class uvm_put_imp
Constructors
Class uvm_put_port
Constructors
Class uvm_queue
Constructors
Functions
Class uvm_random_sequence
Constructors
Functions
Tasks
Class uvm_random_stimulus
Constructors
Functions
Tasks
Class uvm_recorder
Constructors
Functions
Class uvm_reg
Constructors
Functions
Tasks
Class uvm_reg_access_seq
Constructors
Tasks
Class uvm_reg_adapter
Constructors
Functions
Class uvm_reg_backdoor
Constructors
Functions
Tasks
Class uvm_reg_bit_bash_seq
Constructors
Tasks
Class uvm_reg_block
Constructors
Functions
Tasks
Class uvm_reg_cbs
Constructors
Functions
Tasks
Class uvm_reg_field
Constructors
Functions
Tasks
Class uvm_reg_fifo
Constructors
Functions
Tasks
Class uvm_reg_file
Constructors
Functions
Class uvm_reg_frontdoor
Constructors
Class uvm_reg_hw_reset_seq
Constructors
Tasks
Class uvm_reg_indirect_data
Constructors
Functions
Tasks
Class uvm_reg_indirect_ftdr_seq
Constructors
Tasks
Class uvm_reg_item
Constructors
Functions
Class uvm_reg_map
Constructors
Functions
Tasks
Class uvm_reg_map_info
Class uvm_reg_mem_access_seq
Constructors
Tasks
Class uvm_reg_mem_built_in_seq
Constructors
Tasks
Class uvm_reg_mem_hdl_paths_seq
Constructors
Tasks
Class uvm_reg_mem_shared_access_seq
Constructors
Tasks
Class uvm_reg_predictor
Constructors
Functions
Class uvm_reg_read_only_cbs
Constructors
Functions
Tasks
Class uvm_reg_sequence
Constructors
Enums
Functions
Tasks
Class uvm_reg_shared_access_seq
Constructors
Tasks
Class uvm_reg_single_access_seq
Constructors
Tasks
Class uvm_reg_single_bit_bash_seq
Constructors
Tasks
Class uvm_reg_tlm_adapter
Constructors
Functions
Class uvm_reg_transaction_order_policy
Constructors
Functions
Class uvm_reg_write_only_cbs
Constructors
Functions
Tasks
Class uvm_related_link
Constructors
Functions
Class uvm_report_catcher
Constructors
Enums
Functions
Class uvm_report_handler
Constructors
Functions
Class uvm_report_message
Constructors
Functions
Class uvm_report_message_element_base
Functions
Class uvm_report_message_element_container
Constructors
Functions
Class uvm_report_message_int_element
Functions
Class uvm_report_message_object_element
Functions
Class uvm_report_message_string_element
Functions
Class uvm_report_object
Constructors
Functions
Class uvm_report_phase
Functions
Class uvm_report_server
Constructors
Functions
Class uvm_reset_phase
Functions
Tasks
Class uvm_resource
Constructors
Functions
Class uvm_resource_base
Constructors
Functions
Tasks
Class uvm_resource_db
Functions
Class uvm_resource_db_options
Functions
Class uvm_resource_options
Functions
Class uvm_resource_pool
Functions
Class uvm_resource_types
Enums
Class uvm_root
Functions
Tasks
Class uvm_run_phase
Functions
Tasks
Class uvm_scope_stack
Functions
Class uvm_scoreboard
Constructors
Functions
Class uvm_seed_map
Class uvm_seq_item_pull_export
Constructors
Class uvm_seq_item_pull_imp
Constructors
Class uvm_seq_item_pull_port
Constructors
Class uvm_sequence
Constructors
Functions
Tasks
Class uvm_sequence_base
Constructors
Functions
Tasks
Class uvm_sequence_item
Constructors
Functions
Class uvm_sequence_library
Constructors
Functions
Tasks
Class uvm_sequence_library_cfg
Constructors
Class uvm_sequence_process_wrapper
Class uvm_sequence_request
Class uvm_sequencer
Constructors
Functions
Tasks
Class uvm_sequencer_analysis_fifo
Constructors
Functions
Class uvm_sequencer_base
Constructors
Enums
Functions
Tasks
Class uvm_sequencer_param_base
Constructors
Functions
Class uvm_set_before_get_dap
Constructors
Functions
Class uvm_set_get_dap_base
Constructors
Functions
Class uvm_shutdown_phase
Functions
Tasks
Class uvm_simple_lock_dap
Constructors
Functions
Class uvm_simple_sequence
Constructors
Functions
Tasks
Class uvm_slave_export
Constructors
Class uvm_slave_imp
Constructors
Class uvm_slave_port
Constructors
Class uvm_spell_chkr
Functions
Class uvm_sqr_if_base
Functions
Tasks
Class uvm_start_of_simulation_phase
Functions
Class uvm_status_container
Functions
Class uvm_string_rsrc
Constructors
Functions
Class uvm_structure_proxy
Constructors
Functions
Class uvm_subscriber
Constructors
Functions
Class uvm_table_printer
Constructors
Functions
Class uvm_task_phase
Constructors
Functions
Class uvm_test
Constructors
Functions
Class uvm_test_done_objection
Constructors
Functions
Tasks
Class uvm_text_recorder
Constructors
Functions
Class uvm_text_tr_database
Constructors
Functions
Class uvm_text_tr_stream
Constructors
Class uvm_tlm_analysis_fifo
Constructors
Functions
Class uvm_tlm_b_initiator_socket
Constructors
Functions
Class uvm_tlm_b_initiator_socket_base
Constructors
Class uvm_tlm_b_passthrough_initiator_socket
Constructors
Functions
Class uvm_tlm_b_passthrough_initiator_socket_base
Constructors
Class uvm_tlm_b_passthrough_target_socket
Constructors
Functions
Class uvm_tlm_b_passthrough_target_socket_base
Constructors
Class uvm_tlm_b_target_socket
Constructors
Functions
Class uvm_tlm_b_target_socket_base
Constructors
Class uvm_tlm_b_transport_export
Constructors
Class uvm_tlm_b_transport_imp
Constructors
Class uvm_tlm_b_transport_port
Constructors
Class uvm_tlm_event
Events
Class uvm_tlm_extension
Constructors
Functions
Class uvm_tlm_extension_base
Constructors
Functions
Class uvm_tlm_fifo
Constructors
Functions
Tasks
Class uvm_tlm_fifo_base
Constructors
Functions
Tasks
Class uvm_tlm_generic_payload
Constructors
Functions
Class uvm_tlm_if
Functions
Tasks
Class uvm_tlm_if_base
Functions
Tasks
Class uvm_tlm_nb_initiator_socket
Constructors
Functions
Class uvm_tlm_nb_initiator_socket_base
Constructors
Class uvm_tlm_nb_passthrough_initiator_socket
Constructors
Functions
Class uvm_tlm_nb_passthrough_initiator_socket_base
Constructors
Class uvm_tlm_nb_passthrough_target_socket
Constructors
Functions
Class uvm_tlm_nb_passthrough_target_socket_base
Constructors
Class uvm_tlm_nb_target_socket
Constructors
Functions
Class uvm_tlm_nb_target_socket_base
Constructors
Class uvm_tlm_nb_transport_bw_export
Constructors
Class uvm_tlm_nb_transport_bw_imp
Constructors
Class uvm_tlm_nb_transport_bw_port
Constructors
Class uvm_tlm_nb_transport_fw_export
Constructors
Class uvm_tlm_nb_transport_fw_imp
Constructors
Class uvm_tlm_nb_transport_fw_port
Constructors
Class uvm_tlm_req_rsp_channel
Constructors
Functions
Class uvm_tlm_time
Constructors
Functions
Class uvm_tlm_transport_channel
Constructors
Functions
Tasks
Class uvm_top_down_visitor_adapter
Constructors
Functions
Class uvm_topdown_phase
Constructors
Functions
Class uvm_tr_database
Constructors
Functions
Class uvm_tr_stream
Constructors
Functions
Class uvm_transaction
Constructors
Functions
Class uvm_transport_export
Constructors
Class uvm_transport_imp
Constructors
Class uvm_transport_port
Constructors
Class uvm_tree_printer
Constructors
Functions
Class uvm_typed_callbacks
Functions
Class uvm_typeid
Functions
Class uvm_typeid_base
Class uvm_utils
Functions
Class uvm_visitor
Constructors
Functions
Class uvm_visitor_adapter
Constructors
Functions
Class uvm_void
Class uvm_vreg
Constructors
Functions
Tasks
Class uvm_vreg_cbs
Constructors
Tasks
Class uvm_vreg_field
Constructors
Functions
Tasks
Class uvm_vreg_field_cbs
Constructors
Tasks
Enums
Structs
Functions
DPI Import Functions
Tasks
Macros
Diagrams
UML Inheritance Diagrams
UML Collaboration Diagrams
Universal Verification Methodology 1.2 API Specification
Packages
Packages
Package uvm_pkg