DVT SystemVerilog IDE User Guide
Rev. 24.2.25, 31 October 2024

41.17.16 Quick Query View

Press Ctrl+Alt+Q to quickly open the Quick Query View, which provides a filterable list of all the types, macros and compiled files in the project.

This view supports semantic search, allowing to look for a specific element. In order to do this, structure your query in the following manner: query_key:search_pattern.

You can use CamelCase or Simple Regex for the search_pattern.

DVT supports the following query keys:

  • checker

  • class

  • configuration

  • covergroup

  • enum

  • file

  • generate

  • interface

  • library

  • macro

  • module

  • package

  • primitive

  • program

  • struct

  • typedef

  • union

Note: You can use the type:search_pattern query in order to search for all the types (classes, structs, modules etc.) defined in your project.

Note: The extends:search_pattern query can also be used to search for classes which extend other classes that have a name matching the search_pattern.

Additionally, there are UVM-specific queries that have the following format: uvm_class_name:search_pattern, where uvm_class_name is one of the following:

  • uvm_agent

  • uvm_driver

  • uvm_env

  • uvm_monitor

  • uvm_scoreboard

  • uvm_sequencer

  • uvm_sequence

  • uvm_sequence_item

  • uvm_test

  • uvm_component

  • uvm_object

  • uvm_mem

  • uvm_reg

  • uvm_reg_backdoor

  • uvm_reg_frontdoor

  • uvm_reg_sequence

  • uvm_reg_adapter

  • uvm_reg_block

  • uvm_reg_field

  • uvm_reg_map

  • uvm_reg_predictor

  • uvm_reg_fifo

  • uvm_reg_file

Select an element and press Enter or click to navigate to it.

Note: By default, the Quick Query View will display 100 items from each category (types, macros, files). You can customize this value by going to Window > Preferences > DVT > Maximum number of elements per category in Quick Query View.