Module ibex_prefetch_buffer
Block Diagram of ibex_prefetch_buffer
Name |
Default |
Description |
---|---|---|
ResetAll |
1'b0 |
Name |
Type |
Direction |
Description |
---|---|---|---|
clk_i |
wire logic |
input |
|
rst_ni |
wire logic |
input |
|
req_i |
wire logic |
input |
|
branch_i |
wire logic |
input |
|
addr_i |
wire logic [31 : 0] |
input |
|
ready_i |
wire logic |
input |
|
valid_o |
var logic |
output |
|
rdata_o |
var logic [31 : 0] |
output |
|
addr_o |
var logic [31 : 0] |
output |
|
err_o |
var logic |
output |
|
err_plus2_o |
var logic |
output |
|
instr_req_o |
var logic |
output |
goes to instruction memory / instruction cache |
instr_gnt_i |
wire logic |
input |
|
instr_addr_o |
var logic [31 : 0] |
output |
|
instr_rdata_i |
wire logic [31 : 0] |
input |
|
instr_err_i |
wire logic |
input |
|
instr_rvalid_i |
wire logic |
input |
|
busy_o |
var logic |
output |
Prefetch Buffer Status |
Always Blocks
- always_ff @(posedge clk_i or negedge rst_ni)
///////////// Registers // /////////////
Instances
- ibex_top : ibex_top
- u_ibex_core : ibex_core
- if_stage_i : ibex_if_stage
- gen_prefetch_buffer : [if !(ICache)]
prefetch_buffer_i : ibex_prefetch_buffer
Submodules
- ibex_prefetch_buffer
fifo_i : ibex_fetch_fifo
g_fetch_addr_nr : [if !(ResetAll)]
g_outstanding_reqs : [for (genvar i=0;i<NUM_REQS;i++)]
g_stored_addr_nr : [if !(ResetAll)]
gen_rd_rev : [for (genvar i=0;i<NUM_REQS;i++)]
Flow Diagram of ibex_prefetch_buffer
Sub-Instances Diagram of ibex_prefetch_buffer
Schematic Diagram of ibex_prefetch_buffer
Prefetcher Buffer for 32 bit memory interface
Prefetch Buffer that caches instructions. This cuts overly long critical paths to the instruction cache.