Ibex Documentation
  • Introduction to Ibex
    • Standards Compliance
    • Synthesis Targets
      • ASIC Synthesis
      • FPGA Synthesis
    • Licensing
    • Verification Overview
      • Verification Status
  • Ibex User Guide
    • System and Tool Requirements
      • Tools with known issues
    • Getting Started with Ibex
    • Ibex Configurations
      • Configuration Tool
      • Supported Configurations
    • Core Integration
      • Register File
      • Identification CSRs
      • Primitives
      • RTL File List
      • Instantiation Template
      • Parameters
      • Interfaces
    • Examples
      • Simple System
  • Ibex Reference Guide
    • Pipeline Details
      • Third Pipeline Stage
      • Multi- and Single-Cycle Instructions
    • Instruction Cache
      • High-level operation
      • Configuration options
      • Performance notes
      • RAM Arrangement
        • ICache Scrambling
        • Scramble Key Renewal
      • Sub Unit Description
        • Prefetch Address
        • Cache Pipeline
        • Fill buffers
        • Data output
        • Cache ECC protection
        • Cache invalidation
        • Detailed behaviour
    • Instruction Fetch
      • Branch Prediction
      • Instruction-Side Memory Interface
      • Misaligned Accesses
      • Protocol
    • Instruction Decode and Execute
      • Instruction Decode Block (ID)
      • Controller
      • Decoder
      • Register File
      • Execute Block
      • Arithmetic Logic Unit (ALU)
      • Multiplier/Divider Block (MULT/DIV)
      • Control and Status Register Block (CSR)
      • Load-Store Unit (LSU)
    • Load-Store Unit
      • Data-Side Memory Interface
      • Bus Integrity Checking
      • Misaligned Accesses
      • Protocol
    • Register File
      • Flip-Flop-Based Register File
      • FPGA Register File
      • Latch-Based Register File
    • Control and Status Registers
      • Machine Status (mstatus)
      • Machine ISA Register (misa)
      • Machine Interrupt Enable Register (mie)
      • Machine Trap-Vector Base Address (mtvec)
      • Machine Exception PC (mepc)
      • Machine Cause (mcause)
      • Machine Trap Value (mtval)
      • Machine Interrupt Pending Register (mip)
      • PMP Configuration Register (pmpcfgx)
      • PMP Address Register (pmpaddrx)
      • Machine Security Configuration (mseccfg/mseccfgh)
      • Trigger Select Register (tselect)
      • Trigger Data Register 1 (tdata1)
      • Trigger Data Register 2 (tdata2)
      • Trigger Data Register 3 (tdata3)
      • Machine Context Register (mcontext)
      • Supervisor Context Register (scontext)
      • Debug Control and Status Register (dcsr)
      • Debug PC Register (dpc)
      • Debug Scratch Register 0 (dscratch0)
      • Debug Scratch Register 1 (dscratch1)
      • CPU Control and Status Register (cpuctrlsts)
      • Security Feature Seed Register (secureseed)
      • Time Registers (time(h))
      • Machine Vendor ID (mvendorid)
      • Machine Architecture ID (marchid)
      • Machine Implementation ID (mimpid)
      • Hardware Thread ID (mhartid)
    • Performance Counters
      • Event Selector
      • Controlling the counters from software
      • Parametrization at synthesis time
      • FPGA Targets
    • Exceptions and Interrupts
      • Privilege Modes
      • Interrupts
      • Internal Interrupts
      • Recoverable Non-Maskable Interrupt
      • Exceptions
      • Nested Interrupt/Exception Handling
      • Double Fault Detection
    • Physical Memory Protection (PMP)
      • PMP Integration
      • PMP Granularity
      • PMP Enhancements
      • Custom Reset Values
      • Debug Mode
    • Security Features
      • Outputs
      • Data Independent Timing
      • Dummy Instruction Insertion
      • Bus integrity checking
      • Register file ECC
      • Register file write enable glitch detection
      • Register file read addresses glitch detection
      • ICache ECC
      • Hardened PC
      • Shadow CSRs
      • Dual core lockstep
    • Debug Support
      • Interface
      • Parameters
      • Core Debug Registers
    • Tracer
      • Output file
      • Disabling the tracer
      • Trace output format
    • Verification
      • Ibex Core
        • Overview
        • Testbench Architecture
          • Memory Interface Agents
          • Interrupt Interface Agent
          • Memory Model
          • Test and Sequence Library
          • Testplan
        • Getting Started
          • Prerequisites & Environment Setup
          • End-to-end RTL/ISS co-simulation flow
          • Run with a different RTL simulator
      • Instruction Cache
        • Overview
    • Verification Stages
      • V1 Checklist
      • V2 Checklist
      • V2S Checklist
      • Ibex SEC_CM Test Mapping
      • V3 Checklist
    • Co-simulation System
      • Overview
      • Setup and Usage
        • Quick Build and Run Instructions
      • Co-simulation details
        • Trap Handling
        • Interrupts and Debug Requests
        • Memory Access Checking and Bus Errors
    • Test Plan
      • Goals
      • Testbench Architecture
      • Stimulus Strategy
      • Tests
    • Coverage Plan
      • Introduction
      • Coverage Implementation
      • Microarchitectural Events and Behaviour
        • Instructions
          • Categories
          • Stalls
          • Privilege Level
          • Hazards
          • State Specific Behaviour
        • Pipeline State
        • Exceptions/Interrupts/Debug
        • PMP
        • CSRs
        • Security Countermeasures
        • Memory Interface Behaviour
        • Miscellaneous
      • Cross Coverage
    • RISC-V Formal Interface
      • Formal Verification
    • History
      • References
  • Ibex Developer Guide
    • The Ibex Concierge
      • Who is Ibex Concierge today?
      • Ibex Concierge duties
  • Ibex API Specification
    • Verification Tops
      • Class core_ibex_assorted_traps_interrupts_debug_test
        • Constructors
        • Tasks
      • Class core_ibex_base_test
        • Constructors
        • Functions
        • Tasks
      • Class core_ibex_csr_test
        • Constructors
      • Class core_ibex_debug_csr_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_ebreak_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_ebreakmu_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_in_irq_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_instr_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_intr_basic_test
        • Constructors
        • Functions
        • Tasks
      • Class core_ibex_debug_single_step_test
        • Constructors
        • Tasks
      • Class core_ibex_debug_wfi_test
        • Constructors
        • Tasks
      • Class core_ibex_directed_test
        • Constructors
        • Functions
        • Tasks
      • Class core_ibex_dret_test
        • Constructors
        • Tasks
      • Class core_ibex_fetch_en_chk_test
        • Constructors
        • Tasks
      • Class core_ibex_icache_intg_test
        • Constructors
        • Functions
        • Tasks
      • Class core_ibex_interrupt_instr_test
        • Constructors
        • Tasks
      • Class core_ibex_invalid_csr_test
        • Constructors
        • Tasks
      • Class core_ibex_irq_csr_test
        • Constructors
        • Tasks
      • Class core_ibex_irq_in_debug_test
        • Constructors
        • Tasks
      • Class core_ibex_irq_wfi_test
        • Constructors
        • Tasks
      • Class core_ibex_mem_error_test
        • Constructors
        • Tasks
      • Class core_ibex_nested_irq_test
        • Constructors
        • Tasks
      • Class core_ibex_pc_intg_test
        • Constructors
        • Tasks
      • Class core_ibex_perf_test
        • Constructors
        • Tasks
      • Class core_ibex_ram_intg_test
        • Constructors
        • Tasks
      • Class core_ibex_reset_test
        • Constructors
        • Tasks
      • Class core_ibex_rf_ctrl_intg_test
        • Constructors
        • Tasks
      • Class core_ibex_rf_intg_test
        • Constructors
        • Functions
        • Tasks
      • Class core_ibex_single_debug_pulse_test
        • Constructors
        • Tasks
      • Class core_ibex_umode_tw_test
        • Constructors
        • Tasks
      • Class dv_base_test
        • Constructors
        • Functions
        • Tasks
    • Design Tops
      • Module ibex_top
        • Structs
        • Functions
        • Submodules
    • Modules
      • Module core_ibex_fcov_bind
      • Module core_ibex_tb_top
      • Module ibex_alu
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_branch_predict
        • Always Blocks
      • Module ibex_compressed_decoder
        • Always Blocks
        • Instances
      • Module ibex_controller
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_core
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_counter
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_cs_registers
        • Structs
        • Always Blocks
        • Functions
        • Instances
        • Submodules
      • Module ibex_csr
        • Instances
        • Submodules
      • Module ibex_decoder
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_dummy_instr
        • Structs
        • Always Blocks
      • Module ibex_ex_block
        • Instances
        • Submodules
      • Module ibex_fetch_fifo
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_icache
        • Always Blocks
      • Module ibex_id_stage
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_if_stage
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_load_store_unit
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_lockstep
        • Structs
        • Always Blocks
      • Module ibex_multdiv_fast
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_multdiv_slow
        • Always Blocks
      • Module ibex_pmp
        • Functions
      • Module ibex_prefetch_buffer
        • Always Blocks
        • Instances
        • Submodules
      • Module ibex_register_file_ff
        • Instances
        • Submodules
      • Module ibex_register_file_fpga
        • Always Blocks
      • Module ibex_register_file_latch
        • Always Blocks
      • Module ibex_top
        • Structs
        • Functions
        • Submodules
      • Module ibex_top_tracing
      • Module ibex_tracer
        • Always Blocks
        • Functions
      • Module ibex_wb_stage
        • Instances
        • Submodules
      • Module prim_and2
      • Module prim_buf
        • Instances
        • Submodules
      • Module prim_clock_gating
        • Instances
        • Submodules
      • Module prim_clock_mux2
      • Module prim_count
        • Functions
      • Module prim_flop
      • Module prim_generic_and2
      • Module prim_generic_buf
        • Instances
      • Module prim_generic_clock_gating
        • Instances
      • Module prim_generic_clock_mux2
      • Module prim_generic_flop
      • Module prim_generic_ram_1p
        • Always Blocks
        • Functions
        • Tasks
      • Module prim_lfsr
        • Functions
      • Module prim_onehot_check
      • Module prim_onehot_enc
      • Module prim_onehot_mux
      • Module prim_prince
        • Always Blocks
      • Module prim_ram_1p
      • Module prim_ram_1p_adv
      • Module prim_ram_1p_scr
        • Functions
      • Module prim_secded_22_16_dec
      • Module prim_secded_22_16_enc
      • Module prim_secded_28_22_dec
      • Module prim_secded_28_22_enc
      • Module prim_secded_39_32_dec
      • Module prim_secded_39_32_enc
      • Module prim_secded_64_57_dec
      • Module prim_secded_64_57_enc
      • Module prim_secded_72_64_dec
      • Module prim_secded_72_64_enc
      • Module prim_secded_hamming_22_16_dec
      • Module prim_secded_hamming_22_16_enc
      • Module prim_secded_hamming_39_32_dec
      • Module prim_secded_hamming_39_32_enc
      • Module prim_secded_hamming_72_64_dec
      • Module prim_secded_hamming_72_64_enc
      • Module prim_secded_inv_28_22_dec
      • Module prim_secded_inv_28_22_enc
      • Module prim_secded_inv_39_32_dec
      • Module prim_secded_inv_39_32_enc
      • Module prim_secded_inv_72_64_dec
      • Module prim_secded_inv_72_64_enc
      • Module prim_subst_perm
    • Interfaces
      • Interface clk_rst_if
        • Events
        • Functions
        • Tasks
      • Interface core_ibex_csr_if
      • Interface core_ibex_dut_probe_if
        • Functions
      • Interface core_ibex_fcov_if
      • Interface core_ibex_ifetch_if
        • Tasks
      • Interface core_ibex_ifetch_pmp_if
        • Tasks
      • Interface core_ibex_instr_monitor_if
      • Interface core_ibex_pmp_fcov_if
      • Interface core_ibex_rvfi_if
        • Tasks
      • Interface ibex_mem_intf
        • Tasks
      • Interface irq_if
        • Tasks
      • Interface mem_monitor_if
      • Interface pins_if
        • Functions
      • Interface push_pull_if
    • Packages
      • Package common_ifs_pkg
        • Enums
      • Package core_ibex_env_pkg
        • Classes
          • Class core_ibex_env
          • Class core_ibex_env_cfg
          • Class core_ibex_scoreboard
          • Class core_ibex_vseqr
      • Package core_ibex_test_pkg
        • Classes
          • Class core_base_new_seq
          • Class core_base_seq
          • Class core_ibex_assorted_traps_interrupts_debug_test
          • Class core_ibex_base_test
          • Class core_ibex_csr_test
          • Class core_ibex_debug_csr_test
          • Class core_ibex_debug_ebreak_test
          • Class core_ibex_debug_ebreakmu_test
          • Class core_ibex_debug_in_irq_test
          • Class core_ibex_debug_instr_test
          • Class core_ibex_debug_intr_basic_test
          • Class core_ibex_debug_single_step_test
          • Class core_ibex_debug_wfi_test
          • Class core_ibex_directed_test
          • Class core_ibex_dret_test
          • Class core_ibex_fetch_en_chk_test
          • Class core_ibex_icache_intg_test
          • Class core_ibex_interrupt_instr_test
          • Class core_ibex_invalid_csr_test
          • Class core_ibex_irq_csr_test
          • Class core_ibex_irq_in_debug_test
          • Class core_ibex_irq_wfi_test
          • Class core_ibex_mem_error_test
          • Class core_ibex_nested_irq_test
          • Class core_ibex_pc_intg_test
          • Class core_ibex_perf_test
          • Class core_ibex_ram_intg_test
          • Class core_ibex_report_server
          • Class core_ibex_reset_test
          • Class core_ibex_rf_ctrl_intg_test
          • Class core_ibex_rf_intg_test
          • Class core_ibex_single_debug_pulse_test
          • Class core_ibex_umode_tw_test
          • Class core_ibex_vseq
          • Class debug_new_seq
          • Class debug_seq
          • Class fetch_enable_seq
          • Class irq_base_seq
          • Class irq_drop_seq
          • Class irq_new_seq
          • Class irq_raise_nmi_seq
          • Class irq_raise_seq
          • Class irq_raise_single_seq
          • Class memory_error_seq
        • Enums
        • Structs
        • DPI Import Functions
      • Package csr_utils_pkg
        • Classes
          • Class csr_aliasing_seq
          • Class csr_base_seq
          • Class csr_bit_bash_seq
          • Class csr_hw_reset_seq
          • Class csr_mem_walk_seq
          • Class csr_rw_seq
          • Class csr_write_seq
        • Functions
        • Tasks
      • Package dv_base_reg_pkg
        • Classes
          • Class csr_excl_item
          • Class dv_base_lockable_field_cov
          • Class dv_base_mem
          • Class dv_base_mubi_cov
          • Class dv_base_reg
          • Class dv_base_reg_block
          • Class dv_base_reg_field
          • Class dv_base_reg_map
          • Class dv_base_shadowed_field_cov
          • Class mubi_cov
        • Enums
        • Structs
        • Functions
      • Package dv_lib_pkg
        • Classes
          • Class bit_toggle_cg_wrap
          • Class dv_base_agent
          • Class dv_base_agent_cfg
          • Class dv_base_agent_cov
          • Class dv_base_driver
          • Class dv_base_env
          • Class dv_base_env_cfg
          • Class dv_base_env_cov
          • Class dv_base_monitor
          • Class dv_base_scoreboard
          • Class dv_base_seq
          • Class dv_base_sequencer
          • Class dv_base_test
          • Class dv_base_virtual_sequencer
          • Class dv_base_vseq
      • Package dv_test_status_pkg
        • Functions
      • Package dv_utils_pkg
        • Classes
          • Class dv_report_catcher
          • Class dv_report_server
          • Class dv_vif_wrap
        • Enums
        • Structs
        • Functions
        • Tasks
      • Package ibex_cosim_agent_pkg
        • Classes
          • Class core_ibex_cosim_cfg
          • Class ibex_cosim_agent
          • Class ibex_cosim_scoreboard
          • Class ibex_ifetch_monitor
          • Class ibex_ifetch_pmp_monitor
          • Class ibex_ifetch_pmp_seq_item
          • Class ibex_ifetch_seq_item
          • Class ibex_rvfi_monitor
          • Class ibex_rvfi_seq_item
        • DPI Import Functions
      • Package ibex_mem_intf_agent_pkg
        • Classes
          • Class ibex_mem_intf_monitor
          • Class ibex_mem_intf_request_agent
          • Class ibex_mem_intf_request_driver
          • Class ibex_mem_intf_response_agent
          • Class ibex_mem_intf_response_agent_cfg
          • Class ibex_mem_intf_response_driver
          • Class ibex_mem_intf_response_seq
          • Class ibex_mem_intf_response_sequencer
      • Package ibex_mem_intf_pkg
        • Classes
          • Class ibex_mem_intf_seq_item
        • Enums
      • Package ibex_pkg
        • Enums
        • Structs
      • Package irq_agent_pkg
        • Classes
          • Class irq_monitor
          • Class irq_request_agent
          • Class irq_request_driver
          • Class irq_seq_item
      • Package mem_model_pkg
        • Classes
          • Class mem_model
      • Package prim_cipher_pkg
        • Functions
      • Package prim_count_pkg
        • Enums
      • Package prim_mubi_pkg
        • Enums
        • Functions
      • Package prim_pkg
        • Enums
      • Package prim_ram_1p_pkg
        • Structs
      • Package prim_secded_pkg
        • Enums
        • Structs
        • Functions
      • Package prim_util_pkg
        • Functions
      • Package push_pull_agent_pkg
        • Classes
          • Class pull_device_driver
          • Class pull_host_driver
          • Class push_device_driver
          • Class push_host_driver
          • Class push_pull_agent
          • Class push_pull_agent_cfg
          • Class push_pull_agent_cov
          • Class push_pull_base_seq
          • Class push_pull_device_seq
          • Class push_pull_driver
          • Class push_pull_host_seq
          • Class push_pull_indefinite_host_seq
          • Class push_pull_item
          • Class push_pull_monitor
          • Class push_pull_sequencer
          • Class push_pull_sub_driver
        • Enums
      • Package riscv_signature_pkg
        • Enums
      • Package str_utils_pkg
        • Functions
      • Package uvm_pkg
        • Classes
          • Class get_t
          • Class m_uvm_tr_stream_cfg
          • Class m_uvm_waiter
          • Class process_container_c
          • Class sev_id_struct
          • Class uvm_agent
          • Class uvm_algorithmic_comparator
          • Class uvm_analysis_export
          • Class uvm_analysis_imp
          • Class uvm_analysis_port
          • Class uvm_barrier
          • Class uvm_bit_rsrc
          • Class uvm_blocking_get_export
          • Class uvm_blocking_get_imp
          • Class uvm_blocking_get_peek_export
          • Class uvm_blocking_get_peek_imp
          • Class uvm_blocking_get_peek_port
          • Class uvm_blocking_get_port
          • Class uvm_blocking_master_export
          • Class uvm_blocking_master_imp
          • Class uvm_blocking_master_port
          • Class uvm_blocking_peek_export
          • Class uvm_blocking_peek_imp
          • Class uvm_blocking_peek_port
          • Class uvm_blocking_put_export
          • Class uvm_blocking_put_imp
          • Class uvm_blocking_put_port
          • Class uvm_blocking_slave_export
          • Class uvm_blocking_slave_imp
          • Class uvm_blocking_slave_port
          • Class uvm_blocking_transport_export
          • Class uvm_blocking_transport_imp
          • Class uvm_blocking_transport_port
          • Class uvm_bottom_up_visitor_adapter
          • Class uvm_bottomup_phase
          • Class uvm_build_phase
          • Class uvm_built_in_clone
          • Class uvm_built_in_comp
          • Class uvm_built_in_converter
          • Class uvm_built_in_pair
          • Class uvm_by_level_visitor_adapter
          • Class uvm_byte_rsrc
          • Class uvm_callback
          • Class uvm_callback_iter
          • Class uvm_callbacks
          • Class uvm_callbacks_base
          • Class uvm_cause_effect_link
          • Class uvm_check_phase
          • Class uvm_class_clone
          • Class uvm_class_comp
          • Class uvm_class_converter
          • Class uvm_class_pair
          • Class uvm_cmd_line_verb
          • Class uvm_cmdline_processor
          • Class uvm_comparer
          • Class uvm_component
          • Class uvm_component_name_check_visitor
          • Class uvm_component_proxy
          • Class uvm_component_registry
          • Class uvm_config_db
          • Class uvm_config_db_options
          • Class uvm_config_object_wrapper
          • Class uvm_configure_phase
          • Class uvm_connect_phase
          • Class uvm_coreservice_t
          • Class uvm_default_coreservice_t
          • Class uvm_default_factory
          • Class uvm_default_report_server
          • Class uvm_derived_callbacks
          • Class uvm_domain
          • Class uvm_driver
          • Class uvm_end_of_elaboration_phase
          • Class uvm_enum_wrapper
          • Class uvm_env
          • Class uvm_event
          • Class uvm_event_base
          • Class uvm_event_callback
          • Class uvm_exhaustive_sequence
          • Class uvm_extract_phase
          • Class uvm_factory
          • Class uvm_factory_override
          • Class uvm_factory_queue_class
          • Class uvm_final_phase
          • Class uvm_get_export
          • Class uvm_get_imp
          • Class uvm_get_peek_export
          • Class uvm_get_peek_imp
          • Class uvm_get_peek_port
          • Class uvm_get_port
          • Class uvm_get_to_lock_dap
          • Class uvm_hdl_path_concat
          • Class uvm_heartbeat
          • Class uvm_heartbeat_callback
          • Class uvm_in_order_built_in_comparator
          • Class uvm_in_order_class_comparator
          • Class uvm_in_order_comparator
          • Class uvm_int_rsrc
          • Class uvm_line_printer
          • Class uvm_link_base
          • Class uvm_main_phase
          • Class uvm_master_export
          • Class uvm_master_imp
          • Class uvm_master_port
          • Class uvm_mem
          • Class uvm_mem_access_seq
          • Class uvm_mem_mam
          • Class uvm_mem_mam_cfg
          • Class uvm_mem_mam_policy
          • Class uvm_mem_region
          • Class uvm_mem_shared_access_seq
          • Class uvm_mem_single_access_seq
          • Class uvm_mem_single_walk_seq
          • Class uvm_mem_walk_seq
          • Class uvm_monitor
          • Class uvm_nonblocking_get_export
          • Class uvm_nonblocking_get_imp
          • Class uvm_nonblocking_get_peek_export
          • Class uvm_nonblocking_get_peek_imp
          • Class uvm_nonblocking_get_peek_port
          • Class uvm_nonblocking_get_port
          • Class uvm_nonblocking_master_export
          • Class uvm_nonblocking_master_imp
          • Class uvm_nonblocking_master_port
          • Class uvm_nonblocking_peek_export
          • Class uvm_nonblocking_peek_imp
          • Class uvm_nonblocking_peek_port
          • Class uvm_nonblocking_put_export
          • Class uvm_nonblocking_put_imp
          • Class uvm_nonblocking_put_port
          • Class uvm_nonblocking_slave_export
          • Class uvm_nonblocking_slave_imp
          • Class uvm_nonblocking_slave_port
          • Class uvm_nonblocking_transport_export
          • Class uvm_nonblocking_transport_imp
          • Class uvm_nonblocking_transport_port
          • Class uvm_obj_rsrc
          • Class uvm_object
          • Class uvm_object_registry
          • Class uvm_object_string_pool
          • Class uvm_object_wrapper
          • Class uvm_objection
          • Class uvm_objection_callback
          • Class uvm_objection_context_object
          • Class uvm_objection_events
          • Class uvm_packer
          • Class uvm_parent_child_link
          • Class uvm_peek_export
          • Class uvm_peek_imp
          • Class uvm_peek_port
          • Class uvm_phase
          • Class uvm_phase_cb
          • Class uvm_phase_state_change
          • Class uvm_pool
          • Class uvm_port_base
          • Class uvm_port_component
          • Class uvm_port_component_base
          • Class uvm_post_configure_phase
          • Class uvm_post_main_phase
          • Class uvm_post_reset_phase
          • Class uvm_post_shutdown_phase
          • Class uvm_pre_configure_phase
          • Class uvm_pre_main_phase
          • Class uvm_pre_reset_phase
          • Class uvm_pre_shutdown_phase
          • Class uvm_predict_s
          • Class uvm_printer
          • Class uvm_printer_knobs
          • Class uvm_push_driver
          • Class uvm_push_sequencer
          • Class uvm_put_export
          • Class uvm_put_imp
          • Class uvm_put_port
          • Class uvm_queue
          • Class uvm_random_sequence
          • Class uvm_random_stimulus
          • Class uvm_recorder
          • Class uvm_reg
          • Class uvm_reg_access_seq
          • Class uvm_reg_adapter
          • Class uvm_reg_backdoor
          • Class uvm_reg_bit_bash_seq
          • Class uvm_reg_block
          • Class uvm_reg_cbs
          • Class uvm_reg_field
          • Class uvm_reg_fifo
          • Class uvm_reg_file
          • Class uvm_reg_frontdoor
          • Class uvm_reg_hw_reset_seq
          • Class uvm_reg_indirect_data
          • Class uvm_reg_indirect_ftdr_seq
          • Class uvm_reg_item
          • Class uvm_reg_map
          • Class uvm_reg_map_info
          • Class uvm_reg_mem_access_seq
          • Class uvm_reg_mem_built_in_seq
          • Class uvm_reg_mem_hdl_paths_seq
          • Class uvm_reg_mem_shared_access_seq
          • Class uvm_reg_predictor
          • Class uvm_reg_read_only_cbs
          • Class uvm_reg_sequence
          • Class uvm_reg_shared_access_seq
          • Class uvm_reg_single_access_seq
          • Class uvm_reg_single_bit_bash_seq
          • Class uvm_reg_tlm_adapter
          • Class uvm_reg_transaction_order_policy
          • Class uvm_reg_write_only_cbs
          • Class uvm_related_link
          • Class uvm_report_catcher
          • Class uvm_report_handler
          • Class uvm_report_message
          • Class uvm_report_message_element_base
          • Class uvm_report_message_element_container
          • Class uvm_report_message_int_element
          • Class uvm_report_message_object_element
          • Class uvm_report_message_string_element
          • Class uvm_report_object
          • Class uvm_report_phase
          • Class uvm_report_server
          • Class uvm_reset_phase
          • Class uvm_resource
          • Class uvm_resource_base
          • Class uvm_resource_db
          • Class uvm_resource_db_options
          • Class uvm_resource_options
          • Class uvm_resource_pool
          • Class uvm_resource_types
          • Class uvm_root
          • Class uvm_run_phase
          • Class uvm_scope_stack
          • Class uvm_scoreboard
          • Class uvm_seed_map
          • Class uvm_seq_item_pull_export
          • Class uvm_seq_item_pull_imp
          • Class uvm_seq_item_pull_port
          • Class uvm_sequence
          • Class uvm_sequence_base
          • Class uvm_sequence_item
          • Class uvm_sequence_library
          • Class uvm_sequence_library_cfg
          • Class uvm_sequence_process_wrapper
          • Class uvm_sequence_request
          • Class uvm_sequencer
          • Class uvm_sequencer_analysis_fifo
          • Class uvm_sequencer_base
          • Class uvm_sequencer_param_base
          • Class uvm_set_before_get_dap
          • Class uvm_set_get_dap_base
          • Class uvm_shutdown_phase
          • Class uvm_simple_lock_dap
          • Class uvm_simple_sequence
          • Class uvm_slave_export
          • Class uvm_slave_imp
          • Class uvm_slave_port
          • Class uvm_spell_chkr
          • Class uvm_sqr_if_base
          • Class uvm_start_of_simulation_phase
          • Class uvm_status_container
          • Class uvm_string_rsrc
          • Class uvm_structure_proxy
          • Class uvm_subscriber
          • Class uvm_table_printer
          • Class uvm_task_phase
          • Class uvm_test
          • Class uvm_test_done_objection
          • Class uvm_text_recorder
          • Class uvm_text_tr_database
          • Class uvm_text_tr_stream
          • Class uvm_tlm_analysis_fifo
          • Class uvm_tlm_b_initiator_socket
          • Class uvm_tlm_b_initiator_socket_base
          • Class uvm_tlm_b_passthrough_initiator_socket
          • Class uvm_tlm_b_passthrough_initiator_socket_base
          • Class uvm_tlm_b_passthrough_target_socket
          • Class uvm_tlm_b_passthrough_target_socket_base
          • Class uvm_tlm_b_target_socket
          • Class uvm_tlm_b_target_socket_base
          • Class uvm_tlm_b_transport_export
          • Class uvm_tlm_b_transport_imp
          • Class uvm_tlm_b_transport_port
          • Class uvm_tlm_event
          • Class uvm_tlm_extension
          • Class uvm_tlm_extension_base
          • Class uvm_tlm_fifo
          • Class uvm_tlm_fifo_base
          • Class uvm_tlm_generic_payload
          • Class uvm_tlm_if
          • Class uvm_tlm_if_base
          • Class uvm_tlm_nb_initiator_socket
          • Class uvm_tlm_nb_initiator_socket_base
          • Class uvm_tlm_nb_passthrough_initiator_socket
          • Class uvm_tlm_nb_passthrough_initiator_socket_base
          • Class uvm_tlm_nb_passthrough_target_socket
          • Class uvm_tlm_nb_passthrough_target_socket_base
          • Class uvm_tlm_nb_target_socket
          • Class uvm_tlm_nb_target_socket_base
          • Class uvm_tlm_nb_transport_bw_export
          • Class uvm_tlm_nb_transport_bw_imp
          • Class uvm_tlm_nb_transport_bw_port
          • Class uvm_tlm_nb_transport_fw_export
          • Class uvm_tlm_nb_transport_fw_imp
          • Class uvm_tlm_nb_transport_fw_port
          • Class uvm_tlm_req_rsp_channel
          • Class uvm_tlm_time
          • Class uvm_tlm_transport_channel
          • Class uvm_top_down_visitor_adapter
          • Class uvm_topdown_phase
          • Class uvm_tr_database
          • Class uvm_tr_stream
          • Class uvm_transaction
          • Class uvm_transport_export
          • Class uvm_transport_imp
          • Class uvm_transport_port
          • Class uvm_tree_printer
          • Class uvm_typed_callbacks
          • Class uvm_typeid
          • Class uvm_typeid_base
          • Class uvm_utils
          • Class uvm_visitor
          • Class uvm_visitor_adapter
          • Class uvm_void
          • Class uvm_vreg
          • Class uvm_vreg_cbs
          • Class uvm_vreg_field
          • Class uvm_vreg_field_cbs
        • Enums
        • Structs
        • Functions
        • DPI Export Functions
        • DPI Import Functions
        • Tasks
    • Macros
    • Assertions
    • Diagrams
      • Block Diagrams
      • Flow Diagrams
      • Schematic Diagrams
      • Finite State Machine Diagrams
      • UML Inheritance Diagrams
      • UML Collaboration Diagrams
      • UVM Components Diagrams
Ibex Documentation
  • Search


© Copyright 2017-2018, ETH Zurich and University of Bologna, 2018-present lowRISC.

Generated by Specadorâ„¢ 25.1.6 using Read the Docs theme. Last updated on April 02, 2025.