[source]

Architecture rtl of mac_TX_DestMAC_Prepender

Types

Name

Description

T_STATE

Processes

process @ ( In_Meta_DestMACAddress_Data or Out_Ack or Is_EOF or Is_SOF or Is_DataFlow or In_EOF or In_Data or In_Valid or State or ) [source]
ST_IDLE ST_IDLE ST_PREPEND_DEST_MAC_1 ST_PREPEND_DEST_MAC_1 ST_PREPEND_DEST_MAC_2 ST_PREPEND_DEST_MAC_2 ST_PREPEND_DEST_MAC_3 ST_PREPEND_DEST_MAC_3 ST_PREPEND_DEST_MAC_4 ST_PREPEND_DEST_MAC_4 ST_PREPEND_DEST_MAC_5 ST_PREPEND_DEST_MAC_5 ST_PAYLOAD ST_PAYLOAD 1 [((Is_SOF = '1') and (Out_Ack = '1'))] 2 [(Out_Ack = '1')] 3 [(Out_Ack = '1')] 4 [(Out_Ack = '1')] 5 [(Out_Ack = '1')] 6 [(Out_Ack = '1')] 7 [(Is_DataFlow and Is_EOF = '1')]
FSM Transitions for State

#

Current State

Next State

Condition

1

ST_IDLE

ST_PREPEND_DEST_MAC_1

[((Is_SOF = '1') and (Out_Ack = '1'))]

2

ST_PREPEND_DEST_MAC_1

ST_PREPEND_DEST_MAC_2

[(Out_Ack = '1')]

3

ST_PREPEND_DEST_MAC_2

ST_PREPEND_DEST_MAC_3

[(Out_Ack = '1')]

4

ST_PREPEND_DEST_MAC_3

ST_PREPEND_DEST_MAC_4

[(Out_Ack = '1')]

5

ST_PREPEND_DEST_MAC_4

ST_PREPEND_DEST_MAC_5

[(Out_Ack = '1')]

6

ST_PREPEND_DEST_MAC_5

ST_PAYLOAD

[(Out_Ack = '1')]

7

ST_PAYLOAD

ST_IDLE

[(Is_DataFlow and Is_EOF = '1')]