[source]

Entity udp_RX

DEBUGIP_VERSIONClockstd_logicResetstd_logicIn_Validstd_logicIn_DataT_SLV_8In_SOFstd_logicIn_EOFstd_logicIn_Meta_SrcMACAddress_DataT_SLV_8In_Meta_DestMACAddress_DataT_SLV_8In_Meta_EthTypeT_SLV_16In_Meta_SrcIPAddress_DataT_SLV_8In_Meta_DestIPAddress_DataT_SLV_8In_Meta_LengthT_SLV_16In_Meta_ProtocolT_SLV_8Out_Ackstd_logicOut_Meta_rststd_logicOut_Meta_SrcMACAddress_nxtstd_logicOut_Meta_DestMACAddress_nxtstd_logicOut_Meta_SrcIPAddress_nxtstd_logicOut_Meta_DestIPAddress_nxtstd_logicErrorstd_logicIn_Ackstd_logicIn_Meta_rststd_logicIn_Meta_SrcMACAddress_nxtstd_logicIn_Meta_DestMACAddress_nxtstd_logicIn_Meta_SrcIPAddress_nxtstd_logicIn_Meta_DestIPAddress_nxtstd_logicOut_Validstd_logicOut_DataT_SLV_8Out_SOFstd_logicOut_EOFstd_logicOut_Meta_SrcMACAddress_DataT_SLV_8Out_Meta_DestMACAddress_DataT_SLV_8Out_Meta_EthTypeT_SLV_16Out_Meta_SrcIPAddress_DataT_SLV_8Out_Meta_DestIPAddress_DataT_SLV_8Out_Meta_LengthT_SLV_16Out_Meta_ProtocolT_SLV_8Out_Meta_SrcPortT_SLV_16Out_Meta_DestPortT_SLV_16

Block Diagram of udp_RX

Generics

Name

Type

Default

Description

DEBUG

boolean

FALSE

IP_VERSION

positive

6

Ports

Name

Type

Direction

Description

Clock

std_logic

in

Reset

std_logic

in

Error

std_logic

out

STATUS port

In_Valid

std_logic

in

IN port

In_Data

T_SLV_8

in

In_SOF

std_logic

in

In_EOF

std_logic

in

In_Ack

std_logic

out

In_Meta_rst

std_logic

out

In_Meta_SrcMACAddress_nxt

std_logic

out

In_Meta_SrcMACAddress_Data

T_SLV_8

in

In_Meta_DestMACAddress_nxt

std_logic

out

In_Meta_DestMACAddress_Data

T_SLV_8

in

In_Meta_EthType

T_SLV_16

in

In_Meta_SrcIPAddress_nxt

std_logic

out

In_Meta_SrcIPAddress_Data

T_SLV_8

in

In_Meta_DestIPAddress_nxt

std_logic

out

In_Meta_DestIPAddress_Data

T_SLV_8

in

In_Meta_Length

T_SLV_16

in

In_Meta_TrafficClass : in T_SLV_8; In_Meta_FlowLabel : in T_SLV_24;

In_Meta_Protocol

T_SLV_8

in

Out_Valid

std_logic

out

OUT port

Out_Data

T_SLV_8

out

Out_SOF

std_logic

out

Out_EOF

std_logic

out

Out_Ack

std_logic

in

Out_Meta_rst

std_logic

in

Out_Meta_SrcMACAddress_nxt

std_logic

in

Out_Meta_SrcMACAddress_Data

T_SLV_8

out

Out_Meta_DestMACAddress_nxt

std_logic

in

Out_Meta_DestMACAddress_Data

T_SLV_8

out

Out_Meta_EthType

T_SLV_16

out

Out_Meta_SrcIPAddress_nxt

std_logic

in

Out_Meta_SrcIPAddress_Data

T_SLV_8

out

Out_Meta_DestIPAddress_nxt

std_logic

in

Out_Meta_DestIPAddress_Data

T_SLV_8

out

Out_Meta_Length

T_SLV_16

out

Out_Meta_TrafficClass : out T_SLV_8; Out_Meta_FlowLabel : out T_SLV_24;

Out_Meta_Protocol

T_SLV_8

out

Out_Meta_SrcPort

T_SLV_16

out

Out_Meta_DestPort

T_SLV_16

out